OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_FFT/] [multiplier.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 parrado
 
2
library IEEE;
3
use IEEE.std_logic_1164.all;
4
use ieee.std_logic_arith.all;
5
 
6
entity multiplier is
7
      generic (
8
            inst_width1 : INTEGER := 16;
9
            inst_width2 : INTEGER := 16
10
             );
11
       port (
12
             inst_A : in std_logic_vector(inst_width1-1 downto 0);
13
              inst_B : in std_logic_vector(inst_width2-1 downto 0);
14
            PRODUCT_inst  : out std_logic_vector(inst_width1 + inst_width2 - 1 downto 0)
15
 
16
            );
17
    end multiplier;
18
 
19
architecture oper of multiplier is
20
  signal mult_sig : SIGNED(inst_width1+inst_width2-1 downto 0) ;
21
 
22
begin
23
  mult_sig <= SIGNED(inst_A) * SIGNED(inst_B);
24
        PRODUCT_inst <= std_logic_vector(mult_sig);
25
end oper;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.