OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_FFT/] [mux2_mmw.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 parrado
 
2
--Special 2 to 1 mux (mismatched width) 
3
--7/17/02 
4
-- First input is data_width bits 
5
--Second input is data_width+1     bits 
6
--Ign ores highest bit of second  input 
7
LIBRARY ieee;
8
USE ieee.std_logic_1164.ALL;
9
USE ieee.std_logic_arith.ALL;
10
 
11
 
12
ENTITY mux2_mmw IS
13
   GENERIC( data_width : integer := 35
14
          );
15
   PORT  (  s :           in std_logic;
16
                     in0 : in std_logic_vector(data_width-1 downto 0);
17
      in1: in std_logic_vector(data_width downto 0);
18
       data: out std_logic_vector(data_width-1 downto 0)
19
         );
20
END mux2_mmw ;
21
 
22
-- hds interface_end 
23
ARCHITECTURE behavior OF mux2_mmw IS
24
BEGIN
25
process(in0,in1,s)
26
begin
27
  if s='0' then
28
   data<=in0;
29
 else
30
   data<=in1(data_width-1 downto 0);
31
 end if;
32
end process;
33
END behavior;
34
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.