OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_FIR/] [.bak] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 parrado
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity slave is
6
generic(
7
 
8
data_wordwidth: integer;
9
adress_wordwidth integer;
10
 
11
);
12
port(
13
 
14
 ACK_O   : out   std_logic;
15
 ADR_I   : in    std_logic_vector( adress_wordwidth downto 0 );
16
 CLK_I   : in    std_logic;
17
 DAT_I   : in    std_logic_vector( data_wordwidth downto 0 );
18
 DAT_O   : out   std_logic_vector( data_wordwidth downto 0 );
19
 STB_I   : in    std_logic;
20
 WE_I    : in    std_logic
21
 
22
 );
23
 
24
 
25
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.