OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_FIR/] [.vhd.bak] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 parrado
library ieee;
2
use ieee.math_real.all;
3
 
4
package coeff_pkg is
5
        constant Nb : integer := 16;
6
        constant Nh : integer := 11;
7
        constant Q : integer := 15;
8
 
9
        type coeff is array (Nh-1 downto 0) of integer range -(2**(Nb-1)) to (2**(Nb-1)-1);
10
        constant h0 : coeff := (
11
                -89,
12
                971,
13
                860,
14
                -8051,
15
                -985,
16
                14393,
17
                -985,
18
                -8051,
19
                860,
20
                971,
21
                -89
22
        );
23
end coeff_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.