OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_FIR/] [fullregister.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 parrado
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity fullregister is
6
 
7
        generic
8
        (
9
                N: integer
10
        );
11
 
12
        port
13
        (
14
                clk               : in std_logic;
15
                reset_n   : in std_logic;
16
                enable    : in std_logic;
17
                clear             : in std_logic;
18
                d                 : in std_logic_vector(N-1 downto 0);
19
                q                 : out std_logic_vector(N-1 downto 0)
20
 
21
        );
22
 
23
end entity;
24
 
25
architecture rtl of fullregister is
26
begin
27
 
28
        process (clk,reset_n,d,clear,enable)
29
 
30
        begin
31
        if reset_n = '0' then
32
                                q <= (others=>'0');
33
                elsif (rising_edge(clk)) then
34
 
35
                        if enable = '1' then
36
                                if clear='1' then
37
 
38
                                   q <= (others=>'0');
39
 
40
                                else
41
 
42
                                        q<=d;
43
 
44
                                end if;
45
 
46
 
47
                end if;
48
 
49
        end if;
50
 
51
 
52
        end process;
53
 
54
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.