OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_IIR/] [.vhd.bak] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 parrado
library ieee;
2
use ieee.math_real.all;
3
 
4
package coeff_pkg is
5
        constant Nb : integer := 16;
6
        constant Q : integer := 14;
7
 
8
        type coeff is array (Nb-1 downto 0) of integer range -(2**(Nb-1)) to (2**(Nb-1)-1);
9
        constant h0 : coeff := (
10
                1579,
11
                -3067,
12
                1579,
13
                16384,
14
                -31076,
15
                14784
16
        );
17
end coeff_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.