OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_IIR/] [Tworder.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 parrado
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity Tworder is
6
generic (WordWidth:integer;--:=16;--width signal of in/out
7
                        Bit_growth:integer;--:=8; 
8
                        M:integer;--:=16;--width word of coefs
9
                        Q:integer--:=15--Quantifer
10
                        );
11
 
12
port(
13
 
14
signal_input :in std_logic_vector(WordWidth+Bit_growth-1 downto 0);
15
a0,a1,a2,b0,b1,b2: in std_logic_vector(M-1 downto 0);
16
signal_output:out std_logic_vector(WordWidth+Bit_growth-1 downto 0);
17
clk,reset,clear,enable:in std_logic
18
 
19
);
20
end entity;
21
 
22
architecture RTL of Tworder is
23
--The fullregister component
24
component fullregister is
25
 
26
        generic
27
        (
28
                N: integer
29
        );
30
 
31
        port
32
        (
33
                clk               : in std_logic;
34
                reset_n   : in std_logic;
35
                enable    : in std_logic;
36
                clear             : in std_logic;
37
                d                 : in std_logic_vector(N-1 downto 0);
38
                q                 : out std_logic_vector(N-1 downto 0)
39
 
40
        );
41
end component;
42
 
43
signal signal_output_aux,signal_input_aux: std_logic_vector(M+Bit_growth+WordWidth-1 downto 0);
44
signal sum_in,sum_out_aux,sum_out: std_logic_vector((M+WordWidth+Bit_growth)-1 downto 0);
45
signal RegOut1,RegOut2,RegOut1_aux: std_logic_vector(WordWidth+Bit_growth-1 downto 0);
46
signal signal1_in,signal2_in,signal3_in,signal1_out,signal2_out,signal3_out : std_logic_vector(M+WordWidth+Bit_growth-1 downto 0);
47
 
48
begin
49
 
50
--EXTENSION DE SIGNO
51
signal_input_aux(WordWidth+Bit_growth+Q-1 downto Q)<=signal_input;
52
signal_input_aux(Q-1 downto 0)<=(others=>'0');
53
signal_input_aux(M+WordWidth+Bit_growth-1 downto WordWidth+Bit_growth+Q)<=(others=>signal_input(WordWidth+Bit_growth-1));
54
 
55
 
56
 
57
signal1_in<=std_logic_vector(signed(RegOut1)*signed(a1));
58
signal2_in<=std_logic_vector(signed(RegOut2)*signed(a2));
59
signal3_in<=signal_input_aux;
60
signal1_out<=std_logic_vector(signed(RegOut1)*signed(b1));
61
signal2_out<=std_logic_vector(signed(RegOut2)*signed(b2));
62
--signal3_out<=std_logic_vector(signed(sum_in_aux((WordWidth+Bit_growth-1)+Q downto Q))*signed(b0));
63
signal3_out<=std_logic_vector(signed(sum_in((WordWidth+Bit_growth-1)+Q downto Q))*signed(b0));
64
 
65
sum_in<=std_logic_vector(-(signed(signal1_in)) - (signed( signal2_in)) + signed(signal3_in));
66
sum_out<=std_logic_vector(signed(signal1_out)+ signed( signal2_out) + signed(signal3_out));
67
REG1:fullregister
68
generic map(
69
                N=>WordWidth+Bit_growth
70
)
71
        port map (
72
                clk=>clk,
73
                reset_n=>reset,
74
                enable=>enable,
75
                clear=>clear,
76
                d=>sum_in(WordWidth+Bit_growth+Q-1 downto Q),
77
                q=>RegOut1
78
                );
79
REG2:fullregister
80
generic map(
81
                N=>WordWidth+Bit_growth
82
)
83
        port map (
84
                clk=>clk,
85
                reset_n=>reset,
86
                enable=>enable,
87
                clear=>clear,
88
                d=>RegOut1,
89
                q=>RegOut2
90
                );
91
 
92
Reg_seg:fullregister
93
generic map(
94
                N=>M+WordWidth+Bit_growth
95
)
96
        port map (
97
                clk=>clk,
98
                reset_n=>reset,
99
                enable=>'1',
100
                clear=>clear,
101
                d=>sum_out,
102
                q=>sum_out_aux
103
                );
104
 
105
 
106
signal_output<=sum_out_aux((WordWidth+Bit_growth-1)+Q downto Q);-----OJO
107
 
108
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.