OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [sw/] [IIR6/] [coefs_sos.h] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 parrado
/*Second Order Sections (SOS) automatically generated header file*/
2
/*M.Eng. Alexander López Parrado*/
3
 
4
#include <stdint.h>
5
/*The number of sections*/
6
#define NSECT 6
7
 
8
/*Number of bits in fractional part of coeffcients*/
9
/*Fixed point format with 16 bits ([3].[13])*/
10
#define Q 13
11
 
12
/*Gain on each stage*/
13
const int16_t gk = 169;
14
 
15
/*Filter Coefficients ,b10,b11,b12,a10,a11,a12,b00,b01,b02,a00,a01,a02*/
16
const int16_t SOS[NSECT*3*2] = {
17
7835, //0.956450
18
-15024, //-1.834035
19
8192, //1.000000
20
8192, //0.999999
21
16384, //2.000001
22
8192, //1.000000
23
7925, //0.967386
24
-15201, //-1.855551
25
8192, //1.000000
26
8192, //0.999993
27
-16384, //-1.999996
28
8192, //1.000000
29
7926, //0.967564
30
-15034, //-1.835208
31
8192, //1.000000
32
8205, //1.001573
33
16397, //2.001570
34
8192, //1.000000
35
7948, //0.970269
36
-15165, //-1.851227
37
8192, //1.000000
38
8179, //0.998431
39
16371, //1.998429
40
8192, //1.000000
41
8104, //0.989229
42
-15170, //-1.851797
43
8192, //1.000000
44
8205, //1.001644
45
-16397, //-2.001641
46
8192, //1.000000
47
8111, //0.990104
48
-15409, //-1.881010
49
8192, //1.000000
50
8179, //0.998365
51
-16371, //-1.998363
52
8192, //1.000000
53
};

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.