OpenCores
URL https://opencores.org/ocsvn/wf3d/wf3d/trunk

Subversion Repositories wf3d

[/] [wf3d/] [trunk/] [implement/] [synth/] [zedboard/] [dont_touch.xdc] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 specular
# This file is automatically generated.
2
# It contains project source information necessary for synthesis and implementation.
3
 
4
# XDC: new/user_const.xdc
5
 
6
# Block Designs: bd/zed_base/zed_base.bd
7
set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base || ORIG_REF_NAME==zed_base}]
8
 
9
# IP: bd/zed_base/ip/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0.xci
10
set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base_axi_gpio_0_0 || ORIG_REF_NAME==zed_base_axi_gpio_0_0}]
11
 
12
# IP: bd/zed_base/ip/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0.xci
13
set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base_axi_gpio_1_0 || ORIG_REF_NAME==zed_base_axi_gpio_1_0}]
14
 
15
# IP: bd/zed_base/ip/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0.xci
16
set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base_axi_gpio_2_0 || ORIG_REF_NAME==zed_base_axi_gpio_2_0}]
17
 
18
# IP: bd/zed_base/ip/zed_base_processing_system7_0_0/zed_base_processing_system7_0_0.xci
19
set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base_processing_system7_0_0 || ORIG_REF_NAME==zed_base_processing_system7_0_0}]
20
 
21
# IP: bd/zed_base/ip/zed_base_processing_system7_0_axi_periph_0/zed_base_processing_system7_0_axi_periph_0.xci
22
set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base_processing_system7_0_axi_periph_0 || ORIG_REF_NAME==zed_base_processing_system7_0_axi_periph_0}]
23
 
24
# IP: bd/zed_base/ip/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0.xci
25
set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base_rst_processing_system7_0_50M_0 || ORIG_REF_NAME==zed_base_rst_processing_system7_0_50M_0}]
26
 
27
# IP: bd/zed_base/ip/zed_base_xbar_0/zed_base_xbar_0.xci
28
set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base_xbar_0 || ORIG_REF_NAME==zed_base_xbar_0}]
29
 
30
# IP: bd/zed_base/ip/zed_base_auto_pc_0/zed_base_auto_pc_0.xci
31
set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base_auto_pc_0 || ORIG_REF_NAME==zed_base_auto_pc_0}]
32
 
33
# XDC: bd/zed_base/ip/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0_board.xdc
34
set_property DONT_TOUCH TRUE [get_cells [split [join [get_cells -hier -filter {REF_NAME==zed_base_axi_gpio_0_0 || ORIG_REF_NAME==zed_base_axi_gpio_0_0}] {/U0 }]/U0 ]]
35
 
36
# XDC: bd/zed_base/ip/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0_ooc.xdc
37
 
38
# XDC: bd/zed_base/ip/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0.xdc
39
#dup# set_property DONT_TOUCH TRUE [get_cells [split [join [get_cells -hier -filter {REF_NAME==zed_base_axi_gpio_0_0 || ORIG_REF_NAME==zed_base_axi_gpio_0_0}] {/U0 }]/U0 ]]
40
 
41
# XDC: bd/zed_base/ip/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0_board.xdc
42
set_property DONT_TOUCH TRUE [get_cells [split [join [get_cells -hier -filter {REF_NAME==zed_base_axi_gpio_1_0 || ORIG_REF_NAME==zed_base_axi_gpio_1_0}] {/U0 }]/U0 ]]
43
 
44
# XDC: bd/zed_base/ip/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0_ooc.xdc
45
 
46
# XDC: bd/zed_base/ip/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0.xdc
47
#dup# set_property DONT_TOUCH TRUE [get_cells [split [join [get_cells -hier -filter {REF_NAME==zed_base_axi_gpio_1_0 || ORIG_REF_NAME==zed_base_axi_gpio_1_0}] {/U0 }]/U0 ]]
48
 
49
# XDC: bd/zed_base/ip/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0_board.xdc
50
set_property DONT_TOUCH TRUE [get_cells [split [join [get_cells -hier -filter {REF_NAME==zed_base_axi_gpio_2_0 || ORIG_REF_NAME==zed_base_axi_gpio_2_0}] {/U0 }]/U0 ]]
51
 
52
# XDC: bd/zed_base/ip/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0_ooc.xdc
53
 
54
# XDC: bd/zed_base/ip/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0.xdc
55
#dup# set_property DONT_TOUCH TRUE [get_cells [split [join [get_cells -hier -filter {REF_NAME==zed_base_axi_gpio_2_0 || ORIG_REF_NAME==zed_base_axi_gpio_2_0}] {/U0 }]/U0 ]]
56
 
57
# XDC: bd/zed_base/ip/zed_base_processing_system7_0_0/zed_base_processing_system7_0_0.xdc
58
set_property DONT_TOUCH TRUE [get_cells [split [join [get_cells -hier -filter {REF_NAME==zed_base_processing_system7_0_0 || ORIG_REF_NAME==zed_base_processing_system7_0_0}] {/inst }]/inst ]]
59
 
60
# XDC: bd/zed_base/ip/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0_board.xdc
61
#dup# set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base_rst_processing_system7_0_50M_0 || ORIG_REF_NAME==zed_base_rst_processing_system7_0_50M_0}]
62
 
63
# XDC: bd/zed_base/ip/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0.xdc
64
#dup# set_property DONT_TOUCH TRUE [get_cells -hier -filter {REF_NAME==zed_base_rst_processing_system7_0_50M_0 || ORIG_REF_NAME==zed_base_rst_processing_system7_0_50M_0}]
65
 
66
# XDC: bd/zed_base/ip/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0_ooc.xdc
67
 
68
# XDC: bd/zed_base/ip/zed_base_xbar_0/zed_base_xbar_0_ooc.xdc
69
 
70
# XDC: bd/zed_base/ip/zed_base_auto_pc_0/zed_base_auto_pc_0_ooc.xdc
71
 
72
# XDC: bd/zed_base/zed_base_ooc.xdc

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.