1 |
5 |
specular |
set PROJ_NAME polyphony
|
2 |
|
|
set PROJ_DIR .
|
3 |
|
|
set TOP_NAME zed_base_wrapper
|
4 |
|
|
set BD_IP_DIR ./${PROJ_NAME}.srcs/sources_1/bd/zed_base/ip
|
5 |
|
|
|
6 |
|
|
create_project -in_memory -part xc7z020clg484-1
|
7 |
|
|
set_property board_part em.avnet.com:zed:part0:1.3 [current_project]
|
8 |
|
|
set_property design_mode GateLvl [current_fileset]
|
9 |
|
|
set_property parent.project_path ${PROJ_DIR}/${PROJ_NAME}.xpr [current_project]
|
10 |
|
|
set_property ip_repo_paths ${PROJ_DIR}/${PROJ_NAME}.cache/ip [current_project]
|
11 |
|
|
set_property ip_output_repo ${PROJ_DIR}/${PROJ_NAME}.cache/ip [current_project]
|
12 |
|
|
add_files -quiet ${TOP_NAME}.dcp
|
13 |
|
|
# very important
|
14 |
|
|
read_xdc -prop_thru_buffers -ref zed_base_axi_gpio_0_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0_board.xdc
|
15 |
|
|
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0_board.xdc]
|
16 |
|
|
read_xdc -ref zed_base_axi_gpio_0_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0.xdc
|
17 |
|
|
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0.xdc]
|
18 |
|
|
read_xdc -prop_thru_buffers -ref zed_base_axi_gpio_1_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0_board.xdc
|
19 |
|
|
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0_board.xdc]
|
20 |
|
|
read_xdc -ref zed_base_axi_gpio_1_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0.xdc
|
21 |
|
|
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0.xdc]
|
22 |
|
|
read_xdc -prop_thru_buffers -ref zed_base_axi_gpio_2_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0_board.xdc
|
23 |
|
|
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0_board.xdc]
|
24 |
|
|
read_xdc -ref zed_base_axi_gpio_2_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0.xdc
|
25 |
|
|
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0.xdc]
|
26 |
|
|
read_xdc -ref zed_base_processing_system7_0_0 -cells inst ${BD_IP_DIR}/zed_base_processing_system7_0_0/zed_base_processing_system7_0_0.xdc
|
27 |
|
|
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_processing_system7_0_0/zed_base_processing_system7_0_0.xdc]
|
28 |
|
|
read_xdc -prop_thru_buffers -ref zed_base_rst_processing_system7_0_50M_0 ${BD_IP_DIR}/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0_board.xdc
|
29 |
|
|
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0_board.xdc]
|
30 |
|
|
read_xdc -ref zed_base_rst_processing_system7_0_50M_0 ${BD_IP_DIR}/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0.xdc
|
31 |
|
|
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0.xdc]
|
32 |
|
|
read_xdc user_const.xdc
|
33 |
|
|
# Link
|
34 |
|
|
link_design -top ${TOP_NAME} -part xc7z020clg484-1
|
35 |
|
|
# Opt
|
36 |
|
|
opt_design
|
37 |
|
|
write_checkpoint -force ${TOP_NAME}_opt.dcp
|
38 |
|
|
report_drc -file ${TOP_NAME}_drc_opted.rpt
|
39 |
|
|
write_hwdef -force -file ${TOP_NAME}.hwdef
|
40 |
|
|
# Place
|
41 |
|
|
place_design
|
42 |
|
|
write_checkpoint -force ${TOP_NAME}_placed.dcp
|
43 |
|
|
report_io -file ${TOP_NAME}_io_placed.rpt
|
44 |
|
|
report_utilization -file ${TOP_NAME}_utilization_placed.rpt -pb ${TOP_NAME}_utilization_placed.pb
|
45 |
|
|
report_control_sets -verbose -file ${TOP_NAME}_control_sets_placed.rpt
|
46 |
|
|
# Route
|
47 |
|
|
route_design
|
48 |
|
|
write_checkpoint -force ${TOP_NAME}_routed.dcp
|
49 |
|
|
report_drc -file ${TOP_NAME}_drc_routed.rpt -pb ${TOP_NAME}_drc_routed.pb
|
50 |
|
|
report_timing_summary -warn_on_violation -max_paths 10 -file ${TOP_NAME}_timing_summary_routed.rpt -rpx ${TOP_NAME}_timing_summary_routed.rpx
|
51 |
|
|
report_power -file ${TOP_NAME}_power_routed.rpt -pb ${TOP_NAME}_power_summary_routed.pb
|
52 |
|
|
report_route_status -file ${TOP_NAME}_route_status.rpt -pb ${TOP_NAME}_route_status.pb
|
53 |
|
|
report_clock_utilization -file ${TOP_NAME}_clock_utilization_routed.rpt
|