1 |
5 |
specular |
####################################################################################
|
2 |
|
|
# Constraints from file : 'pp_top_axi.ucf'
|
3 |
|
|
####################################################################################
|
4 |
|
|
|
5 |
|
|
# analog-vga
|
6 |
|
|
# "VGA-B1"
|
7 |
|
|
set_property PACKAGE_PIN Y21 [get_ports {o_vb[0]}]
|
8 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:2
|
9 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vb[0]' has been applied to the port object 'o_vb[0]'.
|
10 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vb[0]}]
|
11 |
|
|
# "VGA-B2"
|
12 |
|
|
set_property PACKAGE_PIN Y20 [get_ports {o_vb[1]}]
|
13 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:3
|
14 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vb[1]' has been applied to the port object 'o_vb[1]'.
|
15 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vb[1]}]
|
16 |
|
|
# "VGA-B3"
|
17 |
|
|
set_property PACKAGE_PIN AB20 [get_ports {o_vb[2]}]
|
18 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:4
|
19 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vb[2]' has been applied to the port object 'o_vb[2]'.
|
20 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vb[2]}]
|
21 |
|
|
# "VGA-B4"
|
22 |
|
|
set_property PACKAGE_PIN AB19 [get_ports {o_vb[3]}]
|
23 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:5
|
24 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vb[3]' has been applied to the port object 'o_vb[3]'.
|
25 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vb[3]}]
|
26 |
|
|
# "VGA-G1"
|
27 |
|
|
set_property PACKAGE_PIN AB22 [get_ports {o_vg[0]}]
|
28 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:6
|
29 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vg[0]' has been applied to the port object 'o_vg[0]'.
|
30 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vg[0]}]
|
31 |
|
|
# "VGA-G2"
|
32 |
|
|
set_property PACKAGE_PIN AA22 [get_ports {o_vg[1]}]
|
33 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:7
|
34 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vg[1]' has been applied to the port object 'o_vg[1]'.
|
35 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vg[1]}]
|
36 |
|
|
# "VGA-G3"
|
37 |
|
|
set_property PACKAGE_PIN AB21 [get_ports {o_vg[2]}]
|
38 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:8
|
39 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vg[2]' has been applied to the port object 'o_vg[2]'.
|
40 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vg[2]}]
|
41 |
|
|
# "VGA-G4"
|
42 |
|
|
set_property PACKAGE_PIN AA21 [get_ports {o_vg[3]}]
|
43 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:9
|
44 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vg[3]' has been applied to the port object 'o_vg[3]'.
|
45 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vg[3]}]
|
46 |
|
|
# "VGA-HS"
|
47 |
|
|
set_property PACKAGE_PIN AA19 [get_ports o_hsync_x]
|
48 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:10
|
49 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hsync_x' has been applied to the port object 'o_hsync_x'.
|
50 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports o_hsync_x]
|
51 |
|
|
# "VGA-R1"
|
52 |
|
|
set_property PACKAGE_PIN V20 [get_ports {o_vr[0]}]
|
53 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:11
|
54 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vr[0]' has been applied to the port object 'o_vr[0]'.
|
55 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vr[0]}]
|
56 |
|
|
# "VGA-R2"
|
57 |
|
|
set_property PACKAGE_PIN U20 [get_ports {o_vr[1]}]
|
58 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:12
|
59 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vr[1]' has been applied to the port object 'o_vr[1]'.
|
60 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vr[1]}]
|
61 |
|
|
# "VGA-R3"
|
62 |
|
|
set_property PACKAGE_PIN V19 [get_ports {o_vr[2]}]
|
63 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:13
|
64 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vr[2]' has been applied to the port object 'o_vr[2]'.
|
65 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vr[2]}]
|
66 |
|
|
# "VGA-R4"
|
67 |
|
|
set_property PACKAGE_PIN V18 [get_ports {o_vr[3]}]
|
68 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:14
|
69 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vr[3]' has been applied to the port object 'o_vr[3]'.
|
70 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_vr[3]}]
|
71 |
|
|
# "VGA-VS"
|
72 |
|
|
set_property PACKAGE_PIN Y19 [get_ports o_vsync_x]
|
73 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:15
|
74 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vsync_x' has been applied to the port object 'o_vsync_x'.
|
75 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports o_vsync_x]
|
76 |
|
|
# hdmi
|
77 |
|
|
# "HD-CLK"
|
78 |
|
|
set_property PACKAGE_PIN W18 [get_ports clk_vo]
|
79 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:17
|
80 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'clk_vo' has been applied to the port object 'clk_vo'.
|
81 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports clk_vo]
|
82 |
|
|
# "HD-D0"
|
83 |
|
|
set_property PACKAGE_PIN Y13 [get_ports {o_hd_d[0]}]
|
84 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:18
|
85 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[0]' has been applied to the port object 'o_hd_d[0]'.
|
86 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[0]}]
|
87 |
|
|
# "HD-D1"
|
88 |
|
|
set_property PACKAGE_PIN AA13 [get_ports {o_hd_d[1]}]
|
89 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:19
|
90 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[1]' has been applied to the port object 'o_hd_d[1]'.
|
91 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[1]}]
|
92 |
|
|
# "HD-D10"
|
93 |
|
|
set_property PACKAGE_PIN W13 [get_ports {o_hd_d[10]}]
|
94 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:20
|
95 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[10]' has been applied to the port object 'o_hd_d[10]'.
|
96 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[10]}]
|
97 |
|
|
# "HD-D11"
|
98 |
|
|
set_property PACKAGE_PIN W15 [get_ports {o_hd_d[11]}]
|
99 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:21
|
100 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[11]' has been applied to the port object 'o_hd_d[11]'.
|
101 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[11]}]
|
102 |
|
|
# "HD-D12"
|
103 |
|
|
set_property PACKAGE_PIN V15 [get_ports {o_hd_d[12]}]
|
104 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:22
|
105 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[12]' has been applied to the port object 'o_hd_d[12]'.
|
106 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[12]}]
|
107 |
|
|
# "HD-D13"
|
108 |
|
|
set_property PACKAGE_PIN U17 [get_ports {o_hd_d[13]}]
|
109 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:23
|
110 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[13]' has been applied to the port object 'o_hd_d[13]'.
|
111 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[13]}]
|
112 |
|
|
# "HD-D14"
|
113 |
|
|
set_property PACKAGE_PIN V14 [get_ports {o_hd_d[14]}]
|
114 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:24
|
115 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[14]' has been applied to the port object 'o_hd_d[14]'.
|
116 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[14]}]
|
117 |
|
|
# "HD-D15"
|
118 |
|
|
set_property PACKAGE_PIN V13 [get_ports {o_hd_d[15]}]
|
119 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:25
|
120 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[15]' has been applied to the port object 'o_hd_d[15]'.
|
121 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[15]}]
|
122 |
|
|
# "HD-D2"
|
123 |
|
|
set_property PACKAGE_PIN AA14 [get_ports {o_hd_d[2]}]
|
124 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:26
|
125 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[2]' has been applied to the port object 'o_hd_d[2]'.
|
126 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[2]}]
|
127 |
|
|
# "HD-D3"
|
128 |
|
|
set_property PACKAGE_PIN Y14 [get_ports {o_hd_d[3]}]
|
129 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:27
|
130 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[3]' has been applied to the port object 'o_hd_d[3]'.
|
131 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[3]}]
|
132 |
|
|
# "HD-D4"
|
133 |
|
|
set_property PACKAGE_PIN AB15 [get_ports {o_hd_d[4]}]
|
134 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:28
|
135 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[4]' has been applied to the port object 'o_hd_d[4]'.
|
136 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[4]}]
|
137 |
|
|
# "HD-D5"
|
138 |
|
|
set_property PACKAGE_PIN AB16 [get_ports {o_hd_d[5]}]
|
139 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:29
|
140 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[5]' has been applied to the port object 'o_hd_d[5]'.
|
141 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[5]}]
|
142 |
|
|
# "HD-D6"
|
143 |
|
|
set_property PACKAGE_PIN AA16 [get_ports {o_hd_d[6]}]
|
144 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:30
|
145 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[6]' has been applied to the port object 'o_hd_d[6]'.
|
146 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[6]}]
|
147 |
|
|
# "HD-D7"
|
148 |
|
|
set_property PACKAGE_PIN AB17 [get_ports {o_hd_d[7]}]
|
149 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:31
|
150 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[7]' has been applied to the port object 'o_hd_d[7]'.
|
151 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[7]}]
|
152 |
|
|
# "HD-D8"
|
153 |
|
|
set_property PACKAGE_PIN AA17 [get_ports {o_hd_d[8]}]
|
154 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:32
|
155 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[8]' has been applied to the port object 'o_hd_d[8]'.
|
156 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[8]}]
|
157 |
|
|
# "HD-D9"
|
158 |
|
|
set_property PACKAGE_PIN Y15 [get_ports {o_hd_d[9]}]
|
159 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:33
|
160 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[9]' has been applied to the port object 'o_hd_d[9]'.
|
161 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[9]}]
|
162 |
|
|
# "HD-DE"
|
163 |
|
|
set_property PACKAGE_PIN U16 [get_ports o_hd_de]
|
164 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:34
|
165 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_de' has been applied to the port object 'o_hd_de'.
|
166 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports o_hd_de]
|
167 |
|
|
# "HD-HSYNC"
|
168 |
|
|
set_property PACKAGE_PIN V17 [get_ports o_hd_hsync]
|
169 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:35
|
170 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_hsync' has been applied to the port object 'o_hd_hsync'.
|
171 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports o_hd_hsync]
|
172 |
|
|
# "HD-SCL"
|
173 |
|
|
set_property PACKAGE_PIN AA18 [get_ports io_scl]
|
174 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:36
|
175 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'io_scl' has been applied to the port object 'io_scl'.
|
176 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports io_scl]
|
177 |
|
|
# "HD-SDA"
|
178 |
|
|
set_property PACKAGE_PIN Y16 [get_ports io_sda]
|
179 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:37
|
180 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'io_sda' has been applied to the port object 'io_sda'.
|
181 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports io_sda]
|
182 |
|
|
# "HD-VSYNC"
|
183 |
|
|
set_property PACKAGE_PIN W17 [get_ports o_hd_vsync]
|
184 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:38
|
185 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_vsync' has been applied to the port object 'o_hd_vsync'.
|
186 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports o_hd_vsync]
|
187 |
|
|
|
188 |
|
|
# "GCLK"
|
189 |
|
|
set_property PACKAGE_PIN Y9 [get_ports CLK_100]
|
190 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:40
|
191 |
|
|
# The conversion of 'IOSTANDARD' constraint on 'net' object 'CLK_100' has been applied to the port object 'CLK_100'.
|
192 |
|
|
set_property IOSTANDARD LVCMOS33 [get_ports CLK_100]
|
193 |
|
|
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:42
|
194 |
|
|
create_clock -period 10.000 -name CLK_100 [get_ports CLK_100]
|
195 |
|
|
|
196 |
|
|
set_property IOSTANDARD TMDS_33 [get_ports ch0p]
|
197 |
|
|
set_property PACKAGE_PIN AB6 [get_ports ch0n]
|
198 |
|
|
set_property IOSTANDARD TMDS_33 [get_ports ch0n]
|
199 |
|
|
set_property IOSTANDARD TMDS_33 [get_ports ch1p]
|
200 |
|
|
set_property PACKAGE_PIN AA4 [get_ports ch1n]
|
201 |
|
|
set_property IOSTANDARD TMDS_33 [get_ports ch1n]
|
202 |
|
|
set_property IOSTANDARD TMDS_33 [get_ports ch2p]
|
203 |
|
|
set_property PACKAGE_PIN T6 [get_ports ch2n]
|
204 |
|
|
set_property IOSTANDARD TMDS_33 [get_ports ch2n]
|
205 |
|
|
set_property IOSTANDARD TMDS_33 [get_ports ch3p]
|
206 |
|
|
set_property PACKAGE_PIN U4 [get_ports ch3n]
|
207 |
|
|
set_property IOSTANDARD TMDS_33 [get_ports ch3n]
|
208 |
|
|
|
209 |
|
|
# The following cross clock domain false path constraints can be uncommented in order to mimic ucf constraints behavior (see message at the beginning of this file)
|
210 |
|
|
# set_false_path -from [get_clocks CLK_100] -to [get_clocks [list FCLK_CLK1 FCLK_CLK0 FCLK_CLK3]]
|
211 |
|
|
# set_false_path -from [get_clocks FCLK_CLK1] -to [get_clocks [list CLK_100 FCLK_CLK0 FCLK_CLK3]]
|
212 |
|
|
# set_false_path -from [get_clocks FCLK_CLK0] -to [get_clocks [list CLK_100 FCLK_CLK1 FCLK_CLK3]]
|
213 |
|
|
# set_false_path -from [get_clocks FCLK_CLK3] -to [get_clocks [list CLK_100 FCLK_CLK1 FCLK_CLK0]]
|