OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [bench/] [testcase_1.v.bak] - Blame information for rev 14

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 jeaander
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  weigand_tx_top.v                                            ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the Time Triggered Protocol Controller ////
7
////  http://www.opencores.org/projects/weigand/                  ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Jeff Anderson                                          ////
12
////       jeaander@opencores.org                                 ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2013 Authors                                   ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//// The Weigand protocol is maintained by                        ////
44
//// This product has been tested to interoperate with certified  ////
45
//// devices, but has not been certified itself.  This product    ////
46
//// should be certified through prior to claiming strict         ////
47
//// adherence to the standard.                                   ////
48
////                                                              ////
49
//////////////////////////////////////////////////////////////////////
50
//
51
//  Revisions at end of file
52
//
53
 
54
`include "timescale.v"
55
`include "wiegand_defines.v"
56
`include "testbench_top.v"
57
 
58
module testcase_1;
59
 
60
  testbench_top testbench();
61
 
62
  initial
63
  begin
64
    testbench.wb_rst;
65
    //#40 testbench.wb_write_async(32'h0);
66
    //#40 testbench.wb_write_sync(32'h0);
67
    //#40 testbench.wb_read_async;
68
    //#40 testbench.wb_read_sync;
69
    //write a small word adn send
70
    #40 testbench.wb_write_async(32'hA5A5A50F);
71
    #40 testbench.wb_writep2p_async(32'h7);
72
    #40 testbench.wb_writepw_async(32'h3);
73
    #40 testbench.wb_writesize_async(32'h87);
74
    #40 testbench.wb_writesend_async(32'h7);
75
    #40 testbench.wiegand_write(32'hA5, 6'h5, 6'h7, 6'h3);
76
 
77
    //now write a 32 bit word
78
    #1040 testbench.wb_write_async(32'hA5A5A50F);
79
    #40 testbench.wb_writep2p_async(32'h7);
80
    #40 testbench.wb_writepw_async(32'h3);
81
    #40 testbench.wb_writesend_async(32'b00011111);
82
    #40 testbench.wiegand_write(32'hA5A5A50F, 6'h1F, 6'h7, 6'h3);
83
 
84
    //now write a 35 bit word
85
    #4040 testbench.wb_write_async(32'hA5A5A50F);
86
    #40 testbench.wb_write_async(32'h33333333);
87
    #40 testbench.wb_writep2p_async(32'h7);
88
    #40 testbench.wb_writepw_async(32'h3);
89
    #40 testbench.wb_writesend_async(32'b00100010);
90
    #40 testbench.wiegand_write(40'hF0A5A5A50F, 6'h25, 6'h7, 6'h3);
91
 
92
    #10000 $stop;
93
 
94
  end
95
 
96
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.