OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [xilinx/] [wiegand_tx/] [ise/] [wiegand_tx_top/] [wiegand_tx_top_map.mrp] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 jeaander
Release 14.7 Map P.20131013 (nt64)
2
Xilinx Mapping Report File for Design 'wiegand_tx_top'
3
 
4
Design Information
5
------------------
6
Command Line   : map -intstyle ise -p xc3s700an-fgg484-4 -cm area -ir off -pr
7
off -c 100 -o wiegand_tx_top_map.ncd wiegand_tx_top.ngd wiegand_tx_top.pcf
8
Target Device  : xc3s700an
9
Target Package : fgg484
10
Target Speed   : -4
11
Mapper Version : spartan3a -- $Revision: 1.55 $
12
Mapped Date    : Mon Feb 16 11:08:32 2015
13
 
14
Design Summary
15
--------------
16
Number of errors:      0
17
Number of warnings:    0
18
Logic Utilization:
19
  Number of Slice Flip Flops:           318 out of  11,776    2%
20
  Number of 4 input LUTs:               305 out of  11,776    2%
21
Logic Distribution:
22
  Number of occupied Slices:            257 out of   5,888    4%
23
    Number of Slices containing only related logic:     257 out of     257 100%
24
    Number of Slices containing unrelated logic:          0 out of     257   0%
25
      *See NOTES below for an explanation of the effects of unrelated logic.
26
  Total Number of 4 input LUTs:         305 out of  11,776    2%
27
  Number of bonded IOBs:                 80 out of     372   21%
28
  Number of BUFGMUXs:                     1 out of      24    4%
29
 
30
Average Fanout of Non-Clock Nets:                3.79
31
 
32
Peak Memory Usage:  346 MB
33
Total REAL time to MAP completion:  3 secs
34
Total CPU time to MAP completion:   2 secs
35
 
36
NOTES:
37
 
38
   Related logic is defined as being logic that shares connectivity - e.g. two
39
   LUTs are "related" if they share common inputs.  When assembling slices,
40
   Map gives priority to combine logic that is related.  Doing so results in
41
   the best timing performance.
42
 
43
   Unrelated logic shares no connectivity.  Map will only begin packing
44
   unrelated logic into a slice once 99% of the slices are occupied through
45
   related logic packing.
46
 
47
   Note that once logic distribution reaches the 99% level through related
48
   logic packing, this does not mean the device is completely utilized.
49
   Unrelated logic packing will then begin, continuing until all usable LUTs
50
   and FFs are occupied.  Depending on your timing budget, increased levels of
51
   unrelated logic packing may adversely affect the overall timing performance
52
   of your design.
53
 
54
Table of Contents
55
-----------------
56
Section 1 - Errors
57
Section 2 - Warnings
58
Section 3 - Informational
59
Section 4 - Removed Logic Summary
60
Section 5 - Removed Logic
61
Section 6 - IOB Properties
62
Section 7 - RPMs
63
Section 8 - Guide Report
64
Section 9 - Area Group and Partition Summary
65
Section 10 - Timing Report
66
Section 11 - Configuration String Information
67
Section 12 - Control Set Information
68
Section 13 - Utilization by Hierarchy
69
 
70
Section 1 - Errors
71
------------------
72
 
73
Section 2 - Warnings
74
--------------------
75
 
76
Section 3 - Informational
77
-------------------------
78
INFO:LIT:243 - Logical network wb_cti_i<2> has no load.
79
INFO:LIT:395 - The above info message is repeated 6 more times for the following
80
   (max. 5 shown):
81
   wb_cti_i<1>,
82
   wb_cti_i<0>,
83
   wb_sel_i<3>,
84
   wb_sel_i<2>,
85
   wb_sel_i<1>
86
   To see the details of these info messages, please use the -detail switch.
87
INFO:MapLib:562 - No environment variables are currently set.
88
INFO:LIT:244 - All of the single ended outputs in this design are using slew
89
   rate limited output drivers. The delay on speed critical single ended outputs
90
   can be dramatically reduced by designating them as fast outputs.
91
 
92
Section 4 - Removed Logic Summary
93
---------------------------------
94
   2 block(s) optimized away
95
 
96
Section 5 - Removed Logic
97
-------------------------
98
 
99
Optimized Block(s):
100
TYPE            BLOCK
101
GND             XST_GND
102
VCC             XST_VCC
103
 
104
To enable printing of redundant blocks removed and signals merged, set the
105
detailed map report option and rerun map.
106
 
107
Section 6 - IOB Properties
108
--------------------------
109
 
110
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
111
| IOB Name                           | Type             | Direction | IO Standard          | Diff  | Drive    | Slew    | Reg (s)      | Resistor | IBUF/IFD | SUSPEND          |
112
|                                    |                  |           |                      | Term  | Strength | Rate    |              |          | Delay    |                  |
113
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
114
| one_o                              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
115
| wb_ack_o                           | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
116
| wb_adr_i<0>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
117
| wb_adr_i<1>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
118
| wb_adr_i<2>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
119
| wb_adr_i<3>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
120
| wb_adr_i<4>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
121
| wb_adr_i<5>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
122
| wb_clk_i                           | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
123
| wb_cyc_i                           | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
124
| wb_dat_i<0>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
125
| wb_dat_i<1>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
126
| wb_dat_i<2>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
127
| wb_dat_i<3>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
128
| wb_dat_i<4>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
129
| wb_dat_i<5>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
130
| wb_dat_i<6>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
131
| wb_dat_i<7>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
132
| wb_dat_i<8>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
133
| wb_dat_i<9>                        | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
134
| wb_dat_i<10>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
135
| wb_dat_i<11>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
136
| wb_dat_i<12>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
137
| wb_dat_i<13>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
138
| wb_dat_i<14>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
139
| wb_dat_i<15>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
140
| wb_dat_i<16>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
141
| wb_dat_i<17>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
142
| wb_dat_i<18>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
143
| wb_dat_i<19>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
144
| wb_dat_i<20>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
145
| wb_dat_i<21>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
146
| wb_dat_i<22>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
147
| wb_dat_i<23>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
148
| wb_dat_i<24>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
149
| wb_dat_i<25>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
150
| wb_dat_i<26>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
151
| wb_dat_i<27>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
152
| wb_dat_i<28>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
153
| wb_dat_i<29>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
154
| wb_dat_i<30>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
155
| wb_dat_i<31>                       | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
156
| wb_dat_o<0>                        | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
157
| wb_dat_o<1>                        | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
158
| wb_dat_o<2>                        | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
159
| wb_dat_o<3>                        | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
160
| wb_dat_o<4>                        | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
161
| wb_dat_o<5>                        | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
162
| wb_dat_o<6>                        | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
163
| wb_dat_o<7>                        | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
164
| wb_dat_o<8>                        | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
165
| wb_dat_o<9>                        | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
166
| wb_dat_o<10>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
167
| wb_dat_o<11>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
168
| wb_dat_o<12>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
169
| wb_dat_o<13>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
170
| wb_dat_o<14>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
171
| wb_dat_o<15>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
172
| wb_dat_o<16>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
173
| wb_dat_o<17>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
174
| wb_dat_o<18>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
175
| wb_dat_o<19>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
176
| wb_dat_o<20>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
177
| wb_dat_o<21>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
178
| wb_dat_o<22>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
179
| wb_dat_o<23>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
180
| wb_dat_o<24>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
181
| wb_dat_o<25>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
182
| wb_dat_o<26>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
183
| wb_dat_o<27>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
184
| wb_dat_o<28>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
185
| wb_dat_o<29>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
186
| wb_dat_o<30>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
187
| wb_dat_o<31>                       | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
188
| wb_err_o                           | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
189
| wb_rst_i                           | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
190
| wb_rty_o                           | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
191
| wb_stb_i                           | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
192
| wb_we_i                            | IBUF             | INPUT     | LVCMOS25             |       |          |         |              |          | 0 / 0    |                  |
193
| zero_o                             | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW    |              |          | 0 / 0    | 3STATE           |
194
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
195
 
196
Section 7 - RPMs
197
----------------
198
 
199
Section 8 - Guide Report
200
------------------------
201
Guide not run on this design.
202
 
203
Section 9 - Area Group and Partition Summary
204
--------------------------------------------
205
 
206
Partition Implementation Status
207
-------------------------------
208
 
209
  No Partitions were found in this design.
210
 
211
-------------------------------
212
 
213
Area Group Information
214
----------------------
215
 
216
  No area groups were found in this design.
217
 
218
----------------------
219
 
220
Section 10 - Timing Report
221
--------------------------
222
This design was not run using timing mode.
223
 
224
Section 11 - Configuration String Details
225
-----------------------------------------
226
Use the "-detail" map option to print out Configuration Strings
227
 
228
Section 12 - Control Set Information
229
------------------------------------
230
No control set information for this architecture.
231
 
232
Section 13 - Utilization by Hierarchy
233
-------------------------------------
234
Use the "-detail" map option to print out the Utilization by Hierarchy section.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.