OpenCores
URL https://opencores.org/ocsvn/wisbone_2_ahb/wisbone_2_ahb/trunk

Subversion Repositories wisbone_2_ahb

[/] [wisbone_2_ahb/] [tags/] [t2/] [svtb/] [avm_svtb/] [wb_ahb_top.sv] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 toomuch
// top module
2
`include "../../src/ahbmas_wbslv_top.v"
3
 
4
import wb_ahb_pkg::*;
5
import global::*;
6
 
7
module wb_ahb_top;
8
 
9
logic clk ='b0;
10
logic reset ='b0;
11
 
12
        wb_ahb_if inf1(); // interface instance from wb to bridge
13
        stimulus_gen TB_M(inf1.master_wb,clk,reset); // WB master instance
14
 
15
        AHBMAS_WBSLV_TOP DUT ( // interface connection from WB(stimulus gen) to bridge
16
                   .clk_i(inf1.slave_wb.clk_i),
17
                   .rst_i(inf1.slave_wb.rst_i),
18
                   .data_i(inf1.slave_wb.data_i),
19
                   .addr_i(inf1.slave_wb.addr_i),
20
                   .ack_o(inf1.slave_wb.ack_o),
21
                   .cyc_i(inf1.slave_wb.cyc_i),
22
                   .stb_i(inf1.slave_wb.stb_i),
23
                   .we_i(inf1.slave_wb.we_i),
24
                   .data_o(inf1.slave_wb.data_o),
25
                   .sel_i(inf1.slave_wb.sel_i),
26
                   // interface connection from bridge to wishbone(memory)
27
                   .hclk(inf1.master_ba.hclk),
28
                   .hresetn(inf1.master_ba.hresetn),
29
                   .hwrite(inf1.master_ba.hwrite),
30
                   .haddr(inf1.master_ba.haddr),
31
                   .hwdata(inf1.master_ba.hwdata),
32
                   .hburst(inf1.master_ba.hburst),
33
                   .hsize(inf1.master_ba.hsize),
34
                   .htrans(inf1.master_ba.htrans),
35
                   .hready(inf1.master_ba.hready),
36
                   .hrdata(inf1.master_ba.hrdata),
37
                   .hresp(inf1.master_ba.hresp));
38
        wb_ahb_env env; // enviornment class
39
// reset generation
40
initial
41
        begin
42
                env = new(inf1);
43
                $display ("\n@%0d:Testcase begin",$time);
44
                #2  reset='b1;
45
                #23 reset ='b0;
46
                $display ("\n@%0d:Reset done",$time);
47
                TB_M.initial_setup();
48
                $display ("\n@%0d:Initial setup done",$time);
49
                env.do_test();
50
                $display ("\n@%0d do_test over",$time);
51
                $finish;
52
 
53
        end
54
 
55
//clock generation
56
initial
57
        forever
58
                #(cyc_prd/2)  clk = ~clk;
59
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.