OpenCores
URL https://opencores.org/ocsvn/wisbone_2_ahb/wisbone_2_ahb/trunk

Subversion Repositories wisbone_2_ahb

[/] [wisbone_2_ahb/] [tags/] [t3/] [svtb/] [sim_svtb/] [wb_ahb_pkg.sv] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 toomuch
`timescale 1 ns/ 1 ps
2
package wb_ahb_pkg;
3
import global::*;
4
        `include "../avm_svtb/wb_ahb_stim_gen.svh"
5
        `include "../avm_svtb/wb_ahb_driver.svh"
6
        `include "../avm_svtb/wb_ahb_responder.svh"
7
        `include "../avm_svtb/wb_ahb_monitor.svh"
8
        `include "../avm_svtb/wb_ahb_scoreboard.svh"
9
        `include "../avm_svtb/wb_ahb_coverage.svh"
10
        `include "../avm_svtb/wb_ahb_env.svh"
11
endpackage
12
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.