OpenCores
URL https://opencores.org/ocsvn/wisbone_2_ahb/wisbone_2_ahb/trunk

Subversion Repositories wisbone_2_ahb

[/] [wisbone_2_ahb/] [trunk/] [script/] [modelsim.ini] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 toomuch
; Copyright 2006 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
ahb_wb = ../ahb_wb
11
std = $MODEL_TECH/../std
12
ieee = $MODEL_TECH/../ieee
13
verilog = $MODEL_TECH/../verilog
14
vital2000 = $MODEL_TECH/../vital2000
15
std_developerskit = $MODEL_TECH/../std_developerskit
16
synopsys = $MODEL_TECH/../synopsys
17
modelsim_lib = $MODEL_TECH/../modelsim_lib
18
sv_std = $MODEL_TECH/../sv_std
19
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
20
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
21
 
22
[vcom]
23
; VHDL93 variable selects language version as the default.
24
; Default is VHDL-2002.
25
; Value of 0 or 1987 for VHDL-1987.
26
; Value of 1 or 1993 for VHDL-1993.
27
; Default or value of 2 or 2002 for VHDL-2002.
28
VHDL93 = 2002
29
 
30
; Show source line containing error. Default is off.
31
; Show_source = 1
32
 
33
; Turn off unbound-component warnings. Default is on.
34
; Show_Warning1 = 0
35
 
36
; Turn off process-without-a-wait-statement warnings. Default is on.
37
; Show_Warning2 = 0
38
 
39
; Turn off null-range warnings. Default is on.
40
; Show_Warning3 = 0
41
 
42
; Turn off no-space-in-time-literal warnings. Default is on.
43
; Show_Warning4 = 0
44
 
45
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
46
; Show_Warning5 = 0
47
 
48
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
49
; Optimize_1164 = 0
50
 
51
; Turn on resolving of ambiguous function overloading in favor of the
52
; "explicit" function declaration (not the one automatically created by
53
; the compiler for each type declaration). Default is off.
54
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
55
; will match the behavior of synthesis tools.
56
Explicit = 1
57
 
58
; Turn off acceleration of the VITAL packages. Default is to accelerate.
59
; NoVital = 1
60
 
61
; Turn off VITAL compliance checking. Default is checking on.
62
; NoVitalCheck = 1
63
 
64
; Ignore VITAL compliance checking errors. Default is to not ignore.
65
; IgnoreVitalErrors = 1
66
 
67
; Turn off VITAL compliance checking warnings. Default is to show warnings.
68
; Show_VitalChecksWarnings = 0
69
 
70
; Turn off PSL assertion warning messages. Default is to show warnings.
71
; Show_PslChecksWarnings = 0
72
 
73
; Enable parsing of embedded PSL assertions. Default is enabled.
74
; EmbeddedPsl = 0
75
 
76
; Keep silent about case statement static warnings.
77
; Default is to give a warning.
78
; NoCaseStaticError = 1
79
 
80
; Keep silent about warnings caused by aggregates that are not locally static.
81
; Default is to give a warning.
82
; NoOthersStaticError = 1
83
 
84
; Treat as errors:
85
;   case statement static warnings
86
;   warnings caused by aggregates that are not locally static
87
; Overrides NoCaseStaticError, NoOthersStaticError settings.
88
; PedanticErrors = 1
89
 
90
; Turn off inclusion of debugging info within design units.
91
; Default is to include debugging info.
92
; NoDebug = 1
93
 
94
; Turn off "Loading..." messages. Default is messages on.
95
; Quiet = 1
96
 
97
; Turn on some limited synthesis rule compliance checking. Checks only:
98
;    -- signals used (read) by a process must be in the sensitivity list
99
; CheckSynthesis = 1
100
 
101
; Activate optimizations on expressions that do not involve signals,
102
; waits, or function/procedure/task invocations. Default is off.
103
; ScalarOpts = 1
104
 
105
; Turns on lint-style checking.
106
; Show_Lint = 1
107
 
108
; Require the user to specify a configuration for all bindings,
109
; and do not generate a compile time default binding for the
110
; component. This will result in an elaboration error of
111
; 'component not bound' if the user fails to do so. Avoids the rare
112
; issue of a false dependency upon the unused default binding.
113
; RequireConfigForAllDefaultBinding = 1
114
 
115
; Perform default binding at compile time.
116
; Default is to do default binding at load time.
117
; BindAtCompile=1;
118
 
119
; Inhibit range checking on subscripts of arrays. Range checking on
120
; scalars defined with subtypes is inhibited by default.
121
; NoIndexCheck = 1
122
 
123
; Inhibit range checks on all (implicit and explicit) assignments to
124
; scalar objects defined with subtypes.
125
; NoRangeCheck = 1
126
 
127
; Run the 0in tools from within the simulator.
128
; Default value set to 0. Please set it to 1 to invoke 0in.
129
; VcomZeroIn = 1
130
 
131
; Set the options to be passed to the 0in tools.
132
; Default value set to "". Please set it to appropriate options needed.
133
; VcomZeroInOptions = ""
134
 
135
; Turn off code coverage in VHDL subprograms. Default is on.
136
; CoverageNoSub = 0
137
 
138
; Automatically exclude VHDL case statement default branches.
139
; Default is to not exclude.
140
; CoverExcludeDefault = 1
141
 
142
; Turn on code coverage in VHDL generate blocks. Default is off.
143
; CoverGenerate = 1
144
 
145
; Use this directory for compiler temporary files instead of "work/_temp"
146
; CompilerTempDir = /tmp
147
 
148
[vlog]
149
 
150
; Turn off inclusion of debugging info within design units.
151
; Default is to include debugging info.
152
; NoDebug = 1
153
 
154
; Turn on `protect compiler directive processing.
155
; Default is to ignore `protect directives.
156
; Protect = 1
157
 
158
; Turn off "Loading..." messages. Default is messages on.
159
; Quiet = 1
160
 
161
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
162
; Default is off.
163
; Hazard = 1
164
 
165
; Turn on converting regular Verilog identifiers to uppercase. Allows case
166
; insensitivity for module names. Default is no conversion.
167
; UpCase = 1
168
 
169
; Activate optimizations on expressions that do not involve signals,
170
; waits, or function/procedure/task invocations. Default is off.
171
; ScalarOpts = 1
172
 
173
; Turns on lint-style checking.
174
; Show_Lint = 1
175
 
176
; Show source line containing error. Default is off.
177
; Show_source = 1
178
 
179
; Turn on bad option warning. Default is off.
180
; Show_BadOptionWarning = 1
181
 
182
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
183
vlog95compat = 0
184
 
185
; Turn off PSL warning messages. Default is to show warnings.
186
; Show_PslChecksWarnings = 0
187
 
188
; Enable parsing of embedded PSL assertions. Default is enabled.
189
; EmbeddedPsl = 0
190
 
191
; Set the threshold for automatically identifying sparse Verilog memories.
192
; A memory with depth equal to or more than the sparse memory threshold gets
193
; marked as sparse automatically, unless specified otherwise in source code.
194
; The default is 0 (i.e. no memory is automatically given sparse status)
195
; SparseMemThreshold = 1048576
196
 
197
; Set the maximum number of iterations permitted for a generate loop.
198
; Restricting this permits the implementation to recognize infinite
199
; generate loops.
200
; GenerateLoopIterationMax = 100000
201
 
202
; Set the maximum depth permitted for a recursive generate instantiation.
203
; Restricting this permits the implementation to recognize infinite
204
; recursions.
205
; GenerateRecursionDepthMax = 200
206
 
207
; Run the 0in tools from within the simulator.
208
; Default value set to 0. Please set it to 1 to invoke 0in.
209
; VlogZeroIn = 1
210
 
211
; Set the options to be passed to the 0in tools.
212
; Default value set to "". Please set it to appropriate options needed.
213
; VlogZeroInOptions = ""
214
 
215
; Run the 0in tools from within the simulator.
216
; Default value set to 0. Please set it to 1 to invoke 0in.
217
; VoptZeroIn = 1
218
 
219
; Set the options to be passed to the 0in tools.
220
; Default value set to "". Please set it to appropriate options needed.
221
; VoptZeroInOptions = ""
222
 
223
; Set the option to treat all files specified in a vlog invocation as a
224
; single compilation unit. The default value is set to 0 which will treat
225
; each file as a separate compilation unit as specified in the P1800 draft standard.
226
; MultiFileCompilationUnit = 1
227
 
228
; Automatically exclude Verilog case statement default branches.
229
; Default is to not exclude.
230
; CoverExcludeDefault = 1
231
 
232
; Turn on code coverage in VLOG generate blocks. Default is off.
233
; CoverGenerate = 1
234
 
235
; Specify the override for the default value of "cross_num_print_missing"
236
; option for the Cross in Covergroups. If not specified then LRM default
237
; value of 0 (zero) is used. This is a compile time option.
238
; SVCrossNumPrintMissingDefault = 0
239
 
240
; Setting following to 1 would cause creation of variables which
241
; would represent the value of Coverpoint expressions. This is used
242
; in conjunction with "SVCoverpointExprVariablePrefix" option
243
; in the modelsim.ini
244
; EnableSVCoverpointExprVariable = 0
245
 
246
; Specify the override for the prefix used in forming the variable names
247
; which represent the Coverpoint expressions. This is used in conjunction with
248
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
249
; The default prefix is "expr".
250
; The variable name is
251
;    variable name => _
252
; SVCoverpointExprVariablePrefix = expr
253
 
254
[sccom]
255
; Enable use of SCV include files and library.  Default is off.
256
; UseScv = 1
257
 
258
; Add C++ compiler options to the sccom command line by using this variable.
259
; CppOptions = -g
260
 
261
; Use custom C++ compiler located at this path rather than the default path.
262
; The path should point directly at a compiler executable.
263
; CppPath = /usr/bin/g++
264
 
265
; Enable verbose messages from sccom.  Default is off.
266
; SccomVerbose = 1
267
 
268
; sccom logfile.  Default is no logfile.
269
; SccomLogfile = sccom.log
270
 
271
; Enable use of SC_MS include files and library.  Default is off.
272
; UseScMs = 1
273
 
274
[vsim]
275
 
276
; vopt flow
277
; Set to turn on automatic optimization of a design.
278
; Default is on
279
VoptFlow = 0
280
 
281
; vopt automatic SDF
282
; If automatic design optimization is on, enables automatic compilation
283
; of SDF files.
284
; Default is on, uncomment to turn off.
285
; VoptAutoSDFCompile = 0
286
 
287
; Simulator resolution
288
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
289
Resolution = ns
290
 
291
; User time unit for run commands
292
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
293
; unit specified for Resolution. For example, if Resolution is 100ps,
294
; then UserTimeUnit defaults to ps.
295
; Should generally be set to default.
296
UserTimeUnit = default
297
 
298
; Default run length
299
RunLength = 100
300
 
301
; Maximum iterations that can be run without advancing simulation time
302
IterationLimit = 5000
303
 
304
; Control PSL and Verilog Assume directives during simulation
305
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
306
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
307
; SimulateAssumeDirectives = 1
308
 
309
; Control the simulation of PSL and SVA
310
; These switches can be overridden by the vsim command line switches:
311
;    -psl, -nopsl, -sva, -nosva.
312
; Set SimulatePSL = 0 to disable PSL simulation
313
; Set SimulatePSL = 1 to enable PSL simulation (default)
314
; SimulatePSL = 1
315
; Set SimulateSVA = 0 to disable SVA simulation
316
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
317
; SimulateSVA = 1
318
 
319
; Directives to license manager can be set either as single value or as
320
; space separated multi-values:
321
; vhdl          Immediately reserve a VHDL license
322
; vlog          Immediately reserve a Verilog license
323
; plus          Immediately reserve a VHDL and Verilog license
324
; nomgc         Do not look for Mentor Graphics Licenses
325
; nomti         Do not look for Model Technology Licenses
326
; noqueue       Do not wait in the license queue when a license is not available
327
; viewsim       Try for viewer license but accept simulator license(s) instead
328
;               of queuing for viewer license (PE ONLY)
329
; noviewer      Disable checkout of msimviewer and vsim-viewer license
330
;               features (PE ONLY)
331
; noslvhdl      Disable checkout of qhsimvh and vsim license features
332
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
333
; nomix         Disable checkout of msimhdlmix and hdlmix license features
334
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
335
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
336
;               features
337
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
338
;               hdlmix license features
339
; Single value:
340
; License = plus
341
; Multi-value:
342
; License = noqueue plus
343
 
344
; Stop the simulator after a VHDL/Verilog immediate assertion message
345
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
346
BreakOnAssertion = 3
347
 
348
; VHDL assertion Message Format
349
; %S - Severity Level
350
; %R - Report Message
351
; %T - Time of assertion
352
; %D - Delta
353
; %I - Instance or Region pathname (if available)
354
; %i - Instance pathname with process
355
; %O - Process name
356
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
357
; %P - Instance or Region path without leaf process
358
; %F - File
359
; %L - Line number of assertion or, if assertion is in a subprogram, line
360
;      from which the call is made
361
; %% - Print '%' character
362
; If specific format for assertion level is defined, use its format.
363
; If specific format is not defined for assertion level:
364
; - and if failure occurs during elaboration, use AssertionFormatBreakLine;
365
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
366
;   level), use AssertionFormatBreak;
367
; - otherwise, use AssertionFormat.
368
; AssertionFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
369
; AssertionFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
370
; AssertionFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
371
; AssertionFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
372
; AssertionFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
373
; AssertionFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
374
; AssertionFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
375
; AssertionFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
376
 
377
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
378
; AssertFile = assert.log
379
 
380
 
381
; Simulation Breakpoint messages
382
; This flag controls the display of function names when reporting the location
383
; where the simulator stops do to a breakpoint or fatal error.
384
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
385
; Example wo/function name: # Break at counter.vhd line 44
386
ShowFunctions = 1
387
 
388
 
389
; Default radix for all windows and commands.
390
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
391
DefaultRadix = symbolic
392
 
393
; VSIM Startup command
394
; Startup = do startup.do
395
 
396
; File for saving command transcript
397
TranscriptFile = transcript
398
 
399
; File for saving command history
400
; CommandHistory = cmdhist.log
401
 
402
; Specify whether paths in simulator commands should be described
403
; in VHDL or Verilog format.
404
; For VHDL, PathSeparator = /
405
; For Verilog, PathSeparator = .
406
; Must not be the same character as DatasetSeparator.
407
PathSeparator = /
408
 
409
; Specify the dataset separator for fully rooted contexts.
410
; The default is ':'. For example: sim:/top
411
; Must not be the same character as PathSeparator.
412
DatasetSeparator = :
413
 
414
; Specify a unique path separator for the Signal Spy set of functions.
415
; The default will be to use the PathSeparator variable.
416
; Must not be the same character as DatasetSeparator.
417
; SignalSpyPathSeparator = /
418
 
419
; Disable VHDL assertion messages
420
; IgnoreNote = 1
421
; IgnoreWarning = 1
422
; IgnoreError = 1
423
; IgnoreFailure = 1
424
 
425
; Disable System Verilog assertion messages
426
; Info and Warning are disabled by default
427
; IgnoreSVAInfo = 0
428
; IgnoreSVAWarning = 0
429
; IgnoreSVAError = 1
430
; IgnoreSVAFatal = 1
431
 
432
; Default force kind. May be freeze, drive, deposit, or default
433
; or in other terms, fixed, wired, or charged.
434
; A value of "default" will use the signal kind to determine the
435
; force kind, drive for resolved signals, freeze for unresolved signals
436
; DefaultForceKind = freeze
437
 
438
; If zero, open files when elaborated; otherwise, open files on
439
; first read or write.  Default is 0.
440
; DelayFileOpen = 1
441
 
442
; Control VHDL files opened for write.
443
;   0 = Buffered, 1 = Unbuffered
444
UnbufferedOutput = 0
445
 
446
; Control the number of VHDL files open concurrently.
447
; This number should always be less than the current ulimit
448
; setting for max file descriptors.
449
;   0 = unlimited
450
ConcurrentFileLimit = 40
451
 
452
; Control the number of hierarchical regions displayed as
453
; part of a signal name shown in the Wave window.
454
; A value of zero tells VSIM to display the full name.
455
; The default is 0.
456
; WaveSignalNameWidth = 0
457
 
458
; Turn off warnings when changing VHDL constants and generics
459
; Default is 1 to generate warning messages
460
; WarnConstantChange = 0
461
 
462
; Turn off warnings from the std_logic_arith, std_logic_unsigned
463
; and std_logic_signed packages.
464
; StdArithNoWarnings = 1
465
 
466
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
467
; NumericStdNoWarnings = 1
468
 
469
; Control the format of the (VHDL) FOR generate statement label
470
; for each iteration.  Do not quote it.
471
; The format string here must contain the conversion codes %s and %d,
472
; in that order, and no other conversion codes.  The %s represents
473
; the generate_label; the %d represents the generate parameter value
474
; at a particular generate iteration (this is the position number if
475
; the generate parameter is of an enumeration type).  Embedded whitespace
476
; is allowed (but discouraged); leading and trailing whitespace is ignored.
477
; Application of the format must result in a unique scope name over all
478
; such names in the design so that name lookup can function properly.
479
; GenerateFormat = %s__%d
480
 
481
; Specify whether checkpoint files should be compressed.
482
; The default is 1 (compressed).
483
; CheckpointCompressMode = 0
484
 
485
; Specify whether to enable SystemVerilog DPI out-of-the-blue call.
486
; Out-of-the-blue call refers to a SystemVerilog export function call
487
; directly from a C function that don't have the proper context setup
488
; as done in DPI-C import C functions. When this is enabled, one can
489
; call a DPI export function (but not task) from any C code.
490
; The default is 0 (disabled).
491
; DpiOutOfTheBlue = 1
492
 
493
; List of dynamically loaded objects for Verilog PLI applications
494
; Veriuser = veriuser.sl
495
 
496
; Specify default options for the restart command. Options can be one
497
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
498
; DefaultRestartOptions = -force
499
 
500
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
501
; (> 500 megabyte memory footprint). Default is disabled.
502
; Specify number of megabytes to lock.
503
; LockedMemory = 1000
504
 
505
; HP-UX 11.00 ONLY - Use /usr/lib/libCsup_v2.sl for shared object loading.
506
; This is necessary when C++ files have been compiled with aCC's -AA option.
507
; The default behavior is to use /usr/lib/libCsup.sl.
508
; UseCsupV2 = 1
509
 
510
; Turn on (1) or off (0) WLF file compression.
511
; The default is 1 (compress WLF file).
512
; WLFCompress = 0
513
 
514
; Specify whether to save all design hierarchy (1) in the WLF file
515
; or only regions containing logged signals (0).
516
; The default is 0 (save only regions with logged signals).
517
; WLFSaveAllRegions = 1
518
 
519
; WLF file time limit.  Limit WLF file by time, as closely as possible,
520
; to the specified amount of simulation time.  When the limit is exceeded
521
; the earliest times get truncated from the file.
522
; If both time and size limits are specified the most restrictive is used.
523
; UserTimeUnits are used if time units are not specified.
524
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
525
; WLFTimeLimit = 0
526
 
527
; WLF file size limit.  Limit WLF file size, as closely as possible,
528
; to the specified number of megabytes.  If both time and size limits
529
; are specified then the most restrictive is used.
530
; The default is 0 (no limit).
531
; WLFSizeLimit = 1000
532
 
533
; Specify whether or not a WLF file should be deleted when the
534
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
535
; The default is 0 (do not delete WLF file when simulation ends).
536
; WLFDeleteOnQuit = 1
537
 
538
; Specify whether or not a WLF file should be optimized during
539
; simulation.  If set to 0, the WLF file will not be optimized.
540
; The default is 1, optimize the WLF file.
541
; WLFOptimize = 0
542
 
543
; Specify the name of the WLF file.
544
; The default is vsim.wlf
545
; WLFFilename = vsim.wlf
546
 
547
; WLF reader cache size limit.  Specifies the internal WLF file cache size,
548
; in megabytes, for EACH open WLF file.  A value of 0 turns off the
549
; WLF cache.
550
; The default setting is enabled to 256M per open WLF file.
551
; WLFCacheSize = 1000
552
 
553
; Specify the WLF file event collapse mode.
554
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
555
; 1 = Only record values of logged objects at the end of a simulator iteration.
556
;     (same as -wlfcollapsedelta)
557
; 2 = Only record values of logged objects at the end of a simulator time step.
558
;     (same as -wlfcollapsetime)
559
; The default is 1.
560
; WLFCollapseMode = 0
561
 
562
; Turn on/off undebuggable SystemC type warnings. Default is on.
563
; ShowUndebuggableScTypeWarning = 0
564
 
565
; Turn on/off unassociated SystemC name warnings. Default is off.
566
; ShowUnassociatedScNameWarning = 1
567
 
568
; Set SystemC default time unit.
569
; Set to fs, ps, ns, us, ms, or sec with optional
570
; prefix of 1, 10, or 100.  The default is 1 ns.
571
; The ScTimeUnit value is honored if it is coarser than Resolution.
572
; If ScTimeUnit is finer than Resolution, it is set to the value
573
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
574
; then the default time unit will be 1 ns.  However if Resolution
575
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
576
ScTimeUnit = ns
577
 
578
; Set the SCV relationship name that will be used to identify phase
579
; relations.  If the name given to a transactor relation matches this
580
; name, the transactions involved will be treated as phase transactions
581
ScvPhaseRelationName = mti_phase
582
 
583
 
584
; Do not exit when executing sc_stop().
585
; If this is enabled, the control will be returned to the user before exiting
586
; the simulation. This can make some cleanup tasks easier before kernel exits.
587
; The default is off.
588
; NoExitOnScStop = 1
589
 
590
; Run simulator in assertion debug mode. Default is off.
591
; AssertionDebug = 1
592
 
593
; Turn on/off PSL/SVA concurrent assertion pass enable. Default is on.
594
; AssertionPassEnable = 0
595
 
596
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
597
; AssertionFailEnable = 0
598
 
599
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
600
; Any positive integer, -1 for infinity.
601
; AssertionPassLimit = 1
602
 
603
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
604
; Any positive integer, -1 for infinity.
605
; AssertionFailLimit = 1
606
 
607
; Turn on/off PSL concurrent assertion pass log. Default is off.
608
; The flag does not affect SVA
609
; AssertionPassLog = 1
610
 
611
; Turn on/off PSL concurrent assertion fail log. Default is on.
612
; The flag does not affect SVA
613
; AssertionFailLog = 0
614
 
615
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
616
; 0 = Continue  1 = Break  2 = Exit
617
; AssertionFailAction = 1
618
 
619
; Turn on/off code coverage
620
; CodeCoverage = 0
621
 
622
; Count all code coverage condition and expression truth table rows that match.
623
; CoverCountAll = 1
624
 
625
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
626
; CoverEnable = 0
627
 
628
; Turn on/off PSL/SVA cover log.  Default is off.
629
; CoverLog = 1
630
 
631
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
632
; CoverAtLeast = 2
633
 
634
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
635
; Any positive integer, -1 for infinity.
636
; CoverLimit = 1
637
 
638
; Specify the coverage database filename.  Default is "" (i.e. database is NOT automatically saved on close).
639
; UCDBFilename = vsim.ucdb
640
 
641
; Specify the maximum limit for the number of Cross (bin) products reported
642
; in XML and UCDB report against a Cross. A warning is issued if the limit
643
; is crossed.
644
; MaxReportRhsSVCrossProducts = 1000
645
 
646
; Specify the override for the "auto_bin_max" option for the Covergroups.
647
; If not specified then value from Covergroup "option" is used.
648
; SVCoverpointAutoBinMax = 64
649
 
650
; Specify the override for the value of "cross_num_print_missing"
651
; option for the Cross in Covergroups. If not specified then value
652
; specified in the "option.cross_num_print_missing" is used. This
653
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
654
; value specified by user in source file and any SVCrossNumPrintMissingDefault
655
; specified in modelsim.ini.
656
; SVCrossNumPrintMissing = 0
657
 
658
; Set weight for all PSL/SVA cover directives.  Default is 1.
659
; CoverWeight = 2
660
 
661
; Check vsim plusargs.  Default is 0 (off).
662
; 0 = Don't check plusargs
663
; 1 = Warning on unrecognized plusarg
664
; 2 = Error and exit on unrecognized plusarg
665
; CheckPlusargs = 1
666
 
667
; Load the specified shared objects with the RTLD_GLOBAL flag.
668
; This gives global visibility to all symbols in the shared objects,
669
; meaning that subsequently loaded shared objects can bind to symbols
670
; in the global shared objects.  The list of shared objects should
671
; be whitespace delimited.  This option is not supported on the
672
; Windows or AIX platforms.
673
; GlobalSharedObjectList = example1.so example2.so example3.so
674
 
675
; Run the 0in tools from within the simulator.
676
; Default value set to 0. Please set it to 1 to invoke 0in.
677
; VsimZeroIn = 1
678
 
679
; Set the options to be passed to the 0in tools.
680
; Default value set to "". Please set it to appropriate options needed.
681
; VsimZeroInOptions = ""
682
 
683
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
684
; Sv_Seed = 0
685
 
686
; Maximum size of dynamic arrays that are resized during randomize().
687
; The default is 1000. A value of 0 indicates no limit.
688
; SolveArrayResizeMax = 1000
689
 
690
; Error message severity when randomize() failure is detected (SystemVerilog).
691
; The default is 0 (no error).
692
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
693
; SolveFailSeverity = 0
694
 
695
; Enable/disable debug information for randomize() failures (SystemVerilog).
696
; The default is 0 (disabled). Set to 1 to enable.
697
; SolveFailDebug = 0
698
 
699
; When SolveFailDebug is enabled, this value specifies the maximum number of
700
; constraint subsets that will be tested for conflicts.
701
; The default is 0 (no limit).
702
; SolveFailDebugLimit = 0
703
 
704
; When SolveFailDebug is eanbled, this value specifies the maximum size of
705
; constraint subsets that will be tested for conflicts.
706
; The default value is 0 (no limit).
707
; SolveFailDebugMaxSet = 0
708
 
709
; Specify random sequence compatiblity with a prior letter release. This
710
; option is used to get the same random sequences during simulation as
711
; as a prior letter release. Only prior letter releases (of the current
712
; number release) are allowed.
713
; Note: To achieve the same random sequences, solver optimizations and/or
714
; bug fixes introduced since the specified release may be disabled -
715
; yielding the performance / behavior of the prior release.
716
; Default value set to "" (random compatibility not required).
717
; SolveRev = ""
718
 
719
; Environment variable expansion of command line arguments has been depricated
720
; in favor shell level expansion.  Universal environment variable expansion
721
; inside -f files is support and continued support for MGC Location Maps provide
722
; alternative methods for handling flexible pathnames.
723
; The following line may be uncommented and the value set to 1 to re-enable this
724
; deprecated behavior.  The default value is 0.
725
; DeprecatedEnvironmentVariableExpansion = 0
726
 
727
; Retroactive Recording uses a limited number of private data channels in the WLF
728
; file.  Too many channels degrade WLF performance.  If the limit is reached,
729
; simulation ends with a fatal error.  You may change this limit as needed, but be
730
; aware of the implications of too many channels.  The value must be an integer
731
; greater than or equal to zero, where zero disables all retroactive recording.
732
; RetroChannelLimit = 20
733
 
734
; Options to give vopt when code coverage is turned on.
735
; Default is "+acc=lprnb -opt=-merge -opt=-suppressAlways"
736
; VoptCoverageOptions = +acc=lprnb -opt=-merge -opt=-suppressAlways
737
 
738
[lmc]
739
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
740
libsm = $MODEL_TECH/libsm.sl
741
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
742
; libsm = $MODEL_TECH/libsm.dll
743
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
744
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
745
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
746
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
747
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
748
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
749
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
750
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
751
;  Logic Modeling's SmartModel SWIFT software (Linux)
752
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
753
 
754
; The simulator's interface to Logic Modeling's hardware modeler SFI software
755
libhm = $MODEL_TECH/libhm.sl
756
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
757
; libhm = $MODEL_TECH/libhm.dll
758
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
759
; libsfi = /lib/hp700/libsfi.sl
760
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
761
; libsfi = /lib/rs6000/libsfi.a
762
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
763
; libsfi = /lib/sun4.solaris/libsfi.so
764
;  Logic Modeling's hardware modeler SFI software (Windows NT)
765
; libsfi = /lib/pcnt/lm_sfi.dll
766
;  Logic Modeling's hardware modeler SFI software (Linux)
767
; libsfi = /lib/linux/libsfi.so
768
 
769
[msg_system]
770
; Change a message severity or suppress a message.
771
; The format is:  = [,...]
772
; Examples:
773
;   note = 3009
774
;   warning = 3033
775
;   error = 3010,3016
776
;   fatal = 3016,3033
777
;   suppress = 3009,3016,3043
778
; The command verror  can be used to get the complete
779
; description of a message.
780
 
781
; Control transcripting of elaboration/runtime messages.
782
; The default is to have messages appear in the transcript and
783
; recorded in the wlf file (messages that are recorded in the
784
; wlf file can be viewed in the MsgViewer).  The other settings
785
; are to send messages only to the transcript or only to the
786
; wlf file.  The valid values are
787
;    both  {default}
788
;    tran  {transcript only}
789
;    wlf   {wlf file only}
790
; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.