OpenCores
URL https://opencores.org/ocsvn/wrimm/wrimm/trunk

Subversion Repositories wrimm

[/] [wrimm/] [trunk/] [WrimmBuild.sh] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 barryw
#!/bin/sh
2
 
3
# Propery of Tecphos Inc.  See WrimmLicense.txt for license details
4
# Latest version of all Wrimm project files available at http://opencores.org/project,wrimm
5
# See WrimmManual.pdf for the Wishbone Datasheet and implementation details.
6
# See wrimm subversion project for version history
7
 
8 8 barryw
#GHDL simulation script and gtkWave view results
9 6 barryw
 
10
ghdl -i -v --workdir=work *.vhd
11
 
12 8 barryw
ghdl -m --workdir=work WrimmTestBench
13 6 barryw
 
14 8 barryw
ghdl -r WrimmTestBench --wave=wrimm.ghw --assert-level=error --stop-time=1000ns
15 6 barryw
 
16 8 barryw
# gtkwave wrimm.ghw

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.