OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [ip_repo/] [axi_mdio/] [src/] [axi_mdio_master_oob.xdc] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 DFC
 
2
# This constraints file is supposed to be used only during OOB synthesis run
3
# to specify default target clocks.
4
 
5
create_clock -period 10.000 -name S_AXI_ACLK_OOB -waveform {0.000 5.000} [get_ports {S_AXI_ACLK}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.