OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [ip_repo/] [udp_ip_10g/] [src/] [hdl/] [frame_pkg.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 DFC
-------------------------------------------------------------------------------
2
--
3
-- (C) Copyright 2013 DFC Design, s.r.o., Brno, Czech Republic
4
-- Author: Marek Kvas (m.kvas@dfcdesign.cz)
5
--
6
-------------------------------------------------------------------------------
7
-- This file is part of UDP/IPv4 for 10 G Ethernet core.
8
-- 
9
-- UDP/IPv4 for 10 G Ethernet core is free software: you can 
10
-- redistribute it and/or modify it under the terms of 
11
-- the GNU Lesser General Public License as published by the Free 
12
-- Software Foundation, either version 3 of the License, or
13
-- (at your option) any later version.
14
-- 
15
-- UDP/IPv4 for 10 G Ethernet core is distributed in the hope that 
16
-- it will be useful, but WITHOUT ANY WARRANTY; without even 
17
-- the implied warranty of MERCHANTABILITY or FITNESS FOR A 
18
-- PARTICULAR PURPOSE.  See the GNU Lesser General Public License 
19
-- for more details.
20
-- 
21
-- You should have received a copy of the GNU Lesser General Public 
22
-- License along with UDP/IPv4 for 10 G Ethernet core.  If not, 
23
-- see <http://www.gnu.org/licenses/>.
24
-------------------------------------------------------------------------------
25
-- 
26
-- This package is collection of types and constants that are shared between
27
-- several modules in the UDP/IPv4 frame processing components.
28
--
29
--
30
-------------------------------------------------------------------------------
31
library ieee;
32
use ieee.std_logic_1164.all;
33
use ieee.numeric_std.all;
34
 
35
package frame_pkg is
36
 
37
   -- Type to hold MAC and IP addresses and UDP ports
38
   subtype mac_addr_type is std_logic_vector(47 downto 0);
39
   type mac_addr_array_type is array (natural range <>) of mac_addr_type;
40
 
41
   subtype ip_addr_type is std_logic_vector(31 downto 0);
42
   type ip_addr_array_type is array (natural range <>) of ip_addr_type;
43
 
44
   subtype udp_port_type is std_logic_vector(15 downto 0);
45
   type udp_port_array_type is array (natural range <>) of udp_port_type;
46
 
47
 
48
   -- Types for 64 bit data ports
49
   subtype data64_port_type is std_logic_vector(63 downto 0);
50
   type data64_port_array_type is array (natural range <>) of data64_port_type;
51
 
52
 
53
   -- Frame process constants and types
54
   constant C_FP_TAG_LENGTH_BITLEN : integer := 16;
55
   constant C_FP_TAG_RET_INFO_LENGTH_BITLEN : integer :=
56
                                             C_FP_TAG_LENGTH_BITLEN +16;
57
   constant C_FP_TAG_FLAGS_BITLEN : integer := 2;
58
 
59
   constant C_FP_TAG_UDP : std_logic_vector
60
                  (C_FP_TAG_FLAGS_BITLEN - 1 downto 0) := "01";
61
   constant C_FP_TAG_DISCARD : std_logic_vector
62
                  (C_FP_TAG_FLAGS_BITLEN - 1 downto 0) := "10";
63
   constant C_FP_TAG_RETINF : std_logic_vector
64
                  (C_FP_TAG_FLAGS_BITLEN - 1 downto 0) := "00";
65
 
66
 
67
 
68
   -- Type for tag fifo 2b flags + 32bit return info or length
69
   subtype fp_tfifo_data_type is std_logic_vector(C_FP_TAG_FLAGS_BITLEN + C_FP_TAG_RET_INFO_LENGTH_BITLEN  - 1 downto 0);
70
   subtype fp_dfifo_data_type is std_logic_vector(71 downto 0);
71
 
72
 
73
   subtype txi_tfifo_data_type is std_logic_vector(C_FP_TAG_LENGTH_BITLEN - 1 downto 0);
74
   subtype txi_dfifo_data_type is std_logic_vector(71 downto 0);
75
 
76
 
77
   -- Mainly for CMP registers
78
   subtype data32_port_type is std_logic_vector(31 downto 0);
79
   type data32_port_array_type is array (natural range <>) of data32_port_type;
80
 
81
 
82
   -- Function that sets one bit only according to addr
83
   function one_of_n(vec_len: integer; addr : integer) return std_logic_vector;
84
   function one_of_n(vec: std_logic_vector; addr : integer) return std_logic_vector;
85
   -- Returns position of the first bit
86
   function first_bit_set(vec : std_logic_vector) return integer;
87
 
88
   -- Returns number of bits in 1
89
   function num_of_ones(vec : std_logic_vector) return integer;
90
 
91
end package;
92
 
93
package body frame_pkg is
94
 
95
   -- Returns vector of length of vec with one bit set only at
96
   -- position addr
97
   function one_of_n(vec: std_logic_vector; addr : integer) return std_logic_vector is
98
      variable res : std_logic_vector(vec'range) := (others => '0');
99
   begin
100
      res(addr) := '1';
101
      return res;
102
   end function;
103
   -- Returns vector of length of vec_len with one bit set only at
104
   -- position addr
105
   function one_of_n(vec_len: integer; addr : integer) return std_logic_vector is
106
      variable res : std_logic_vector(vec_len - 1 downto 0) := (others => '0');
107
   begin
108
      res(addr) := '1';
109
      return res;
110
   end function;
111
 
112
   function first_bit_set(vec : std_logic_vector) return integer is
113
   begin
114
      -- find first bit set
115
      for i in vec'range loop
116
         if vec(i) = '1' then
117
            return i;
118
         end if;
119
      end loop;
120
      return 0;
121
   end function;
122
 
123
   -- Returns number of bits in 1
124
   function num_of_ones(vec : std_logic_vector) return integer is
125
      variable res   : integer := 0;
126
   begin
127
      for i in 0 to vec'left loop
128
         if vec(i) = '1' then
129
            res := res + 1;
130
         end if;
131
      end loop;
132
 
133
      return res;
134
   end function;
135
 
136
end package body;
137
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.