OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [src/] [ip/] [rxaui_0/] [doc/] [rxaui_v4_3_changelog.txt] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 DFC
2016.4:
2
 * Version 4.3 (Rev. 7)
3
 * Revision change in one or more subcores
4
 
5
2016.3:
6
 * Version 4.3 (Rev. 6)
7
 * Bug Fix: Changed "IBUF_LOW_PWR" parameter from TRUE to FALSE for IBUFs instantiated at the input of refclk_p/n
8
 * Other: Source HDL files are concatenated into a single file to speed up synthesis and simulation. No changes required by the user
9
 * Revision change in one or more subcores
10
 
11
2016.2:
12
 * Version 4.3 (Rev. 5)
13
 * Assigned 156.25 MHz value to FREQ_HZ bus parameter for refclk_out port
14
 * Revision change in one or more subcores
15
 
16
2016.1:
17
 * Version 4.3 (Rev. 4)
18
 * Changes to HDL library management to support Vivado IP simulation library
19
 * Revision change in one or more subcores
20
 
21
2015.4.2:
22
 * Version 4.3 (Rev. 3)
23
 * No changes
24
 
25
2015.4.1:
26
 * Version 4.3 (Rev. 3)
27
 * No changes
28
 
29
2015.4:
30
 * Version 4.3 (Rev. 3)
31
 * Updated scripts for upgrade from previous release
32
 * Revision change in one or more subcores
33
 
34
2015.3:
35
 * Version 4.3 (Rev. 2)
36
 * Updated to use the latest GT Ultrascale Wizard v1.6
37
 * IP revision number added to HDL module, library, and include file names, to support designs with both locked and upgraded IP instances
38
 * Added support for ultrascale plus devices
39
 * Added support for xcku095 device family
40
 * Revision change in one or more subcores
41
 
42
2015.2.1:
43
 * Version 4.3 (Rev. 1)
44
 * No changes
45
 
46
2015.2:
47
 * Version 4.3 (Rev. 1)
48
 * For Ultrascale transceivers, an update in attributes results in a change in mode of the Rx Equalizer from DFE to LPM.
49
 * Added initial values to HDL registers to prevent X propagation in behavioral simulations
50
 * Added support for xq7z100rf1156-1I devices
51
 
52
2015.1:
53
 * Version 4.3
54
 * Kintex UltraScale Production support
55
 * Added support for Virtex Ultrascale GTYE3 transceivers
56
 * For Ultrascale devices, added an option to select the transceiver reference clock frequency used by the core: select from 125MHz, 156.25MHz or 312.5MHz
57
 * For Ultrascale devices, added GUI options to select per-core-instance Transceiver locations
58
 * For Ultrascale devices, issuing a transceiver GTRXRESET after entering near-end loopback and switching the loopback mode to near-end PCS loopback
59
 * Added a GUI option to enter the transceiver DRP clock frequency used by the core
60
 * When the transceiver Rx PRBS functionality is enabled through the optional transceiver control and status ports, periodic transceiver RX resets due to lack of lane alignment are inhibited
61
 * Transceiver control and status ports: added gt_txinhibit and gt_pcsrsvdin[]
62
 * Updated to use the latest GT Ultrascale Wizard v1.5
63
 * Updated to use the latest 7-Series Transceiver Wizard v3.5.
64
 * For GTXE2 and GTHE2 7-Series transceivers, the Transceiver Wizard update includes a change in mode of the Rx Equalizer from DFE to LPM.
65
 * For Automotive Artix-7 devices, fixed the clocking logic by adding a missing clock buffer between the TXOUTCLK from a transceiver and the MMCM.
66
 
67
2014.4.1:
68
 * Version 4.2 (Rev. 3)
69
 * No changes
70
 
71
2014.4:
72
 * Version 4.2 (Rev. 3)
73
 * Added support for 7-Series Defense-grade, Automotive, and Low Voltage parts
74
 * Corrected the filename of the VHDL example design demonstration testbench from EntityName.vhd to example_design_testbench.vhd
75
 * Added a clock domain crossing synchronizer to signal_detect
76
 * Tidied up whitespace in HDL files for better alignment and indent consistency
77
 * When the transceiver Rx PRBS functionality is enabled through the optional transceiver control and status ports, periodic transceiver RX resets due to lack of lane synchronization are inhibited
78
 * Encrypted source files are concatenated together to reduce the number of files and to reduce simulator compile time
79
 * Reducing the default transceiver DRPCLK frequency in the cores out-of-context XDC file from 200MHz to 125MHz.  This is the default frequency used when the core is synthesised in isolation, and is overridden when the core is implemented in a full design.
80
 
81
2014.3:
82
 * Version 4.2 (Rev. 2)
83
 * IBUF's have been added to the refclk_p and refclk_n inputs before the IBUFGTE2 primitive for all 7-Series devices.  These were previously inferred by the tools, so there is no change to overall logical functionality.  However, this does enable Vivado commands such as report_clock_networks to work correctly at all applicable Vivado stages
84
 * Updated to use the latest GT Ultrascale Wizard
85
 * Revised the Ultrascale reset logic to satisfy the minimum reset pulse width requirements for reset inputs of the Ultrascale GT Wizard instantiation
86
 * Revised the Ultrascale reset logic to assert the Rx reset to the core when the transceviers are placed in powerdown
87
 * For VHDL projects, all core VHDL files are now compiled into a core specific library (rxaui_v4_3 for this core version) with the exception of the top level VHDL wrapper file for the core which remains in the default library (named xil_defaultlib unless overridden by the user).  This makes the core consistent with other Xilinx IP.  No changes to core instantiations are required in customer HDL files
88
 * Input port default tie-off values for IP Integrator have been added to signal_detect and removed from signals which must be connected (for example input clock ports)
89
 * The directory path to the UltraScale FPGAs Transceivers Wizard output products has been shortened
90
 
91
2014.2:
92
 * Version 4.2 (Rev. 1)
93
 * Added support for Z-7015 devices
94
 * Updated to use the latest GT Ultrascale Wizard
95
 * Fixed an issue on the GUI symbol where the gt_dmonitorout ports did not appear in the transcevier_debug bus interfaces when targeting the GTHE2 and GTXE2 transceivers
96
 
97
2014.1:
98
 * Version 4.2
99
 * Virtex UltraScale Pre-Production support
100
 * Added support for extra Artix-7 devices (by enabling support for low-cost wire-bonded packages)
101
 * Fixed the operation of the mgt_rx_reset_inprocess signal (part of the GTPE2/GTHE2 reset logic)(see Xilinx Answer 59860)
102
 * Fixed GTPE2 reliability issues by ensuring that the GTPE2 cannot get permanently stuck in the wrong internal datawidth setting during reset cycles. (see Xilinx Answer 59861)
103
 * Fixed powerdown reliability issues by ensuring that the transceiver phase alignment state machine is reset when the powerdown state is removed. (see Xilinx Answer 59292)
104
 * Fixed the SIM_RESET_SPEEDUP attribute setting (now set to FALSE) for GTPE2 and GTHE2 transceivers, as per the 7-Series FPGAs Transceivers User Guides.  This is required in order to simulate correctly the transcevier reset/initialization sequence; this leads to longer reset/initialization simulation times.
105
 * Added missing pll0outrefclk_out port to the IP GUI customization symbol and IP integrator symbol diagrams.  This port is now present for Artix-7 designs when the shared logic is included in the core
106
 * Corrected the Ultrascale transceiver debug port widths in the IP GUI customization symbol and IP integrator symbol diagrams
107
 * Added clock frequency metadata to the Quad PLL and GT reference clocks for use in IPI only
108
 * Added missing XDC constraints on the MDIO signal inputs to ease timing closure (see Xilinx Answer 59914)
109
 * Added missing powerdown signals from the core to the Ultrascale transceiver
110
 * Corrected the mgt_txcharisk signal assignment in Verilog projects for Ultrascale devices
111
 * Corrected the 7 Series transceiver debug port rxcdrhold to route to the transceiver
112
 * Added commented example of GT placement in example design XDC
113
 * Internal device family name change, no functional changes
114
 
115
2013.4:
116
 * Version 4.1
117
 * Kintex UltraScale Pre-Production support
118
 * Increased the number of optional transceiver control and status ports.
119
 * The mark_debug attribute was removed from all nets so that the Xilinx lab tools would not be prepopulated with an undesired configuration.
120
 
121
2013.3:
122
 * Version 4.0
123
 * Moved Artix-7 and Zynq-7000 devices to production
124
 * FBG packages enabled
125
 * Fixed 7 series GTP reset logic (AR 56313)
126
 * Added support for IP Integrator.
127
 * Updated synchronizers to improve Mean Time Between Failures (MTBF) for metastability.
128
 * GUI 'Shared Logic' section revised to make the options clearer
129
 * The HDL parameter WRAPPER_SIM_GTRESET_SPEEDUP has been removed. To control the GT simulation parameter refer to the Product Guide.
130
 * clk156 is now always a core output (clk156_out) driven by a BUFH. This clock cannot be shared with other RXAUI core instances due to the GT buffer bypass mechanism.
131
 * The gt_control port has been removed and the debug port width changed to just contain RXAUI information. To enable the transceiver control ports recustomize and enable 'additional transceiver ports'
132
 * DRP ports are now only present if the additional transceiver control ports are enabled
133
 * Top level ports changed to make connecting a core with shared logic to a core without shared logic easier
134
 * Example Design changed to include a synthesizable pattern generator and checker.
135
 * Clock buffer changed from a BUFG to BUFH. To revert this back to a BUFG please see 'Unlink IP' section of UG896
136
 * Path to the transceivers has changed. This is now of the form */gt0_gt_wrapper_i/gtxe2_i. This will affect constraints if you use the X0Y1 style of naming. (Pin package constraints unchanged)
137
 * Updated 7 Series Transceiver Attributes (including AR 56332)
138
 * QPLL for GTH transceivers is now powered down by default (unused)
139
 * Fixed VHDL chbond_counter logic - core could fail to issue a reset if all lanes achieved sync
140
 
141
2013.2:
142
 * Version 3.0 (Rev. 1)
143
 * Fixed wrong set_false_path in XDC corresponding to AR 55229
144
 * Fixed Clock Correction attributes in GTP
145
 * Fixed RX termination attributes for 7 series GTP and GTH (AR 55840)
146
 
147
2013.1:
148
 * Version 3.0
149
 * Zynq support
150
 * The previous 'core block' level is now the top level of the core. This includes transicever instances. The transceiver instances and supporting logic are still delivered as plain HDL.
151
 * Core now provides a 'hierarchical' XDC file. This is automatically applied to the core by the Vivado tools
152
 * 'Support' Level created in example output products. This contains the clocking (including the transeiver common PLL) and reset logic
153
 * MARK_DEBUG attribute added to various signals to aid debug
154
 * New port - debug port added to aid debug when connected to an external processor the align_status and sync_status ports are now part of this
155
 * New port - gt_control port added to allow control of transceiver specific features
156
 * Support for Marvell Mode removed
157
 * Added support for the out-of-context flow
158
 
159
(c) Copyright 2009 - 2017 Xilinx, Inc. All rights reserved.
160
 
161
This file contains confidential and proprietary information
162
of Xilinx, Inc. and is protected under U.S. and
163
international copyright and other intellectual property
164
laws.
165
 
166
DISCLAIMER
167
This disclaimer is not a license and does not grant any
168
rights to the materials distributed herewith. Except as
169
otherwise provided in a valid license issued to you by
170
Xilinx, and to the maximum extent permitted by applicable
171
law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
172
WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
173
AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
174
BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
175
INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
176
(2) Xilinx shall not be liable (whether in contract or tort,
177
including negligence, or under any other theory of
178
liability) for any loss or damage of any kind or nature
179
related to, arising under or in connection with these
180
materials, including for any direct, or any indirect,
181
special, incidental, or consequential loss or damage
182
(including loss of data, profits, goodwill, or any type of
183
loss or damage suffered as a result of any action brought
184
by a third party) even if such damage or loss was
185
reasonably foreseeable or Xilinx had been advised of the
186
possibility of the same.
187
 
188
CRITICAL APPLICATIONS
189
Xilinx products are not designed or intended to be fail-
190
safe, or for use in any application requiring fail-safe
191
performance, such as life-support or safety devices or
192
systems, Class III medical devices, nuclear facilities,
193
applications related to the deployment of airbags, or any
194
other applications that could lead to death, personal
195
injury, or severe property or environmental damage
196
(individually and collectively, "Critical
197
Applications"). Customer assumes the sole risk and
198
liability of any use of Xilinx products in Critical
199
Applications, subject only to applicable laws and
200
regulations governing limitations on product liability.
201
 
202
THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
203
PART OF THIS FILE AT ALL TIMES.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.