OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [src/] [ip/] [rxaui_0/] [rxaui_0.vho] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 DFC
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
2
--
3
-- This file contains confidential and proprietary information
4
-- of Xilinx, Inc. and is protected under U.S. and
5
-- international copyright and other intellectual property
6
-- laws.
7
--
8
-- DISCLAIMER
9
-- This disclaimer is not a license and does not grant any
10
-- rights to the materials distributed herewith. Except as
11
-- otherwise provided in a valid license issued to you by
12
-- Xilinx, and to the maximum extent permitted by applicable
13
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
14
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
15
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
16
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
17
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
18
-- (2) Xilinx shall not be liable (whether in contract or tort,
19
-- including negligence, or under any other theory of
20
-- liability) for any loss or damage of any kind or nature
21
-- related to, arising under or in connection with these
22
-- materials, including for any direct, or any indirect,
23
-- special, incidental, or consequential loss or damage
24
-- (including loss of data, profits, goodwill, or any type of
25
-- loss or damage suffered as a result of any action brought
26
-- by a third party) even if such damage or loss was
27
-- reasonably foreseeable or Xilinx had been advised of the
28
-- possibility of the same.
29
--
30
-- CRITICAL APPLICATIONS
31
-- Xilinx products are not designed or intended to be fail-
32
-- safe, or for use in any application requiring fail-safe
33
-- performance, such as life-support or safety devices or
34
-- systems, Class III medical devices, nuclear facilities,
35
-- applications related to the deployment of airbags, or any
36
-- other applications that could lead to death, personal
37
-- injury, or severe property or environmental damage
38
-- (individually and collectively, "Critical
39
-- Applications"). Customer assumes the sole risk and
40
-- liability of any use of Xilinx products in Critical
41
-- Applications, subject only to applicable laws and
42
-- regulations governing limitations on product liability.
43
--
44
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
45
-- PART OF THIS FILE AT ALL TIMES.
46
--
47
-- DO NOT MODIFY THIS FILE.
48
 
49
-- IP VLNV: xilinx.com:ip:rxaui:4.3
50
-- IP Revision: 7
51
 
52
-- The following code must appear in the VHDL architecture header.
53
 
54
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
55
COMPONENT rxaui_0
56
  PORT (
57
    reset : IN STD_LOGIC;
58
    dclk : IN STD_LOGIC;
59
    clk156_out : OUT STD_LOGIC;
60
    clk156_lock : OUT STD_LOGIC;
61
    refclk_out : OUT STD_LOGIC;
62
    refclk_p : IN STD_LOGIC;
63
    refclk_n : IN STD_LOGIC;
64
    qplloutclk_out : OUT STD_LOGIC;
65
    qplllock_out : OUT STD_LOGIC;
66
    qplloutrefclk_out : OUT STD_LOGIC;
67
    xgmii_txd : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
68
    xgmii_txc : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
69
    xgmii_rxd : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
70
    xgmii_rxc : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
71
    rxaui_tx_l0_p : OUT STD_LOGIC;
72
    rxaui_tx_l0_n : OUT STD_LOGIC;
73
    rxaui_tx_l1_p : OUT STD_LOGIC;
74
    rxaui_tx_l1_n : OUT STD_LOGIC;
75
    rxaui_rx_l0_p : IN STD_LOGIC;
76
    rxaui_rx_l0_n : IN STD_LOGIC;
77
    rxaui_rx_l1_p : IN STD_LOGIC;
78
    rxaui_rx_l1_n : IN STD_LOGIC;
79
    signal_detect : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
80
    debug : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
81
    mdc : IN STD_LOGIC;
82
    mdio_in : IN STD_LOGIC;
83
    mdio_out : OUT STD_LOGIC;
84
    mdio_tri : OUT STD_LOGIC;
85
    prtad : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
86
    type_sel : IN STD_LOGIC_VECTOR(1 DOWNTO 0)
87
  );
88
END COMPONENT;
89
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
90
 
91
-- The following code must appear in the VHDL architecture
92
-- body. Substitute your own instance name and net names.
93
 
94
------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
95
your_instance_name : rxaui_0
96
  PORT MAP (
97
    reset => reset,
98
    dclk => dclk,
99
    clk156_out => clk156_out,
100
    clk156_lock => clk156_lock,
101
    refclk_out => refclk_out,
102
    refclk_p => refclk_p,
103
    refclk_n => refclk_n,
104
    qplloutclk_out => qplloutclk_out,
105
    qplllock_out => qplllock_out,
106
    qplloutrefclk_out => qplloutrefclk_out,
107
    xgmii_txd => xgmii_txd,
108
    xgmii_txc => xgmii_txc,
109
    xgmii_rxd => xgmii_rxd,
110
    xgmii_rxc => xgmii_rxc,
111
    rxaui_tx_l0_p => rxaui_tx_l0_p,
112
    rxaui_tx_l0_n => rxaui_tx_l0_n,
113
    rxaui_tx_l1_p => rxaui_tx_l1_p,
114
    rxaui_tx_l1_n => rxaui_tx_l1_n,
115
    rxaui_rx_l0_p => rxaui_rx_l0_p,
116
    rxaui_rx_l0_n => rxaui_rx_l0_n,
117
    rxaui_rx_l1_p => rxaui_rx_l1_p,
118
    rxaui_rx_l1_n => rxaui_rx_l1_n,
119
    signal_detect => signal_detect,
120
    debug => debug,
121
    mdc => mdc,
122
    mdio_in => mdio_in,
123
    mdio_out => mdio_out,
124
    mdio_tri => mdio_tri,
125
    prtad => prtad,
126
    type_sel => type_sel
127
  );
128
-- INST_TAG_END ------ End INSTANTIATION Template ---------
129
 
130
-- You must compile the wrapper file rxaui_0.vhd when simulating
131
-- the core, rxaui_0. When compiling the wrapper file, be sure to
132
-- reference the VHDL simulation library.
133
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.