OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [src/] [ip/] [rxaui_0/] [synth/] [rxaui_0.xdc] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 DFC
#######################################################################
2
# Clock frequencies and clock management                              #
3
#######################################################################
4
 
5
create_clock -period 6.40 [get_ports refclk_p]
6
 
7
create_clock -period 6.40 [get_pins -of_objects [get_cells * -hierarchical -filter {REF_NAME=~ GTXE2_CHANNEL && NAME =~ *gt0*}] -filter {NAME =~ *TXOUTCLK}]
8
 
9
set_false_path -to   [get_cells -hierarchical -filter {NAME =~ */rxaui_block_i/reset_count_done_sync_i/sync_r_reg[0]}]
10
set_false_path -to [get_cells -hierarchical -filter {NAME =~ */rxaui_block_i/plllocked_sync_i/sync_r_reg[0]}]
11
 
12
 
13
##################################################################
14
# MDIO-related constraints                                       #
15
##################################################################
16
# set a false path from the mdc_in and mdc_in inputs to the first
17
# stage of the sychronizer register
18
set_false_path -to [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdc_reg_reg[0]}]
19
set_false_path -to [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_in_reg_reg[0]}]
20
 
21
# Constrain the MDIO to 2.5MHz. If you wish to overclock the MDIO
22
# port you must alter this
23
set_max_delay 400.000 -from [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg[*]}] -to [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/*_reg[*]}]
24
set_max_delay 400.000 -from [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg[*]}] -to [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/*_reg}]
25
set_max_delay 400.000 -from [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg}] -to [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/*_reg[*]}]
26
set_max_delay 400.000 -from [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg}] -to [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/*_reg}]
27
 
28
set_max_delay 400.000 -from [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg[*]}] -to [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg[*]}]
29
set_max_delay 400.000 -from [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg[*]}] -to [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg}]
30
set_max_delay 400.000 -from [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg}] -to [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg[*]}]
31
set_max_delay 400.000 -from [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg}] -to [get_cells -hierarchical -filter {NAME =~ */rxaui_0_core/*management_1/mdio_interface_1/*_reg}]
32
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.