OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [src/] [ip/] [rxaui_0/] [synth/] [rxaui_0_ff_synchronizer.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 DFC
-------------------------------------------------------------------------------
2
-- Title      : FF Synchronizer
3
-- Project    : RXAUI
4
-------------------------------------------------------------------------------
5
-- File       : rxaui_0_ff_synchronizer.vhd
6
-------------------------------------------------------------------------------
7
-- Description: This module provides a parameterizable multi stage
8
--              FF Synchronizer with appropriate synth attributes
9
--              to mark ASYNC_REG and prevent SRL inference
10
-------------------------------------------------------------------------------
11
-- (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved. 
12
--
13
-- This file contains confidential and proprietary information
14
-- of Xilinx, Inc. and is protected under U.S. and
15
-- international copyright and other intellectual property
16
-- laws.
17
--
18
-- DISCLAIMER
19
-- This disclaimer is not a license and does not grant any
20
-- rights to the materials distributed herewith. Except as
21
-- otherwise provided in a valid license issued to you by
22
-- Xilinx, and to the maximum extent permitted by applicable
23
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
24
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
25
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
26
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
27
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
28
-- (2) Xilinx shall not be liable (whether in contract or tort,
29
-- including negligence, or under any other theory of
30
-- liability) for any loss or damage of any kind or nature
31
-- related to, arising under or in connection with these
32
-- materials, including for any direct, or any indirect,
33
-- special, incidental, or consequential loss or damage
34
-- (including loss of data, profits, goodwill, or any type of
35
-- loss or damage suffered as a result of any action brought
36
-- by a third party) even if such damage or loss was
37
-- reasonably foreseeable or Xilinx had been advised of the
38
-- possibility of the same.
39
--
40
-- CRITICAL APPLICATIONS
41
-- Xilinx products are not designed or intended to be fail-
42
-- safe, or for use in any application requiring fail-safe
43
-- performance, such as life-support or safety devices or
44
-- systems, Class III medical devices, nuclear facilities,
45
-- applications related to the deployment of airbags, or any
46
-- other applications that could lead to death, personal
47
-- injury, or severe property or environmental damage
48
-- (individually and collectively, "Critical
49
-- Applications"). Customer assumes the sole risk and
50
-- liability of any use of Xilinx products in Critical
51
-- Applications, subject only to applicable laws and
52
-- regulations governing limitations on product liability.
53
--
54
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
55
-- PART OF THIS FILE AT ALL TIMES.
56
-------------------------------------------------------------------
57
 
58
library ieee;
59
use ieee.std_logic_1164.all;
60
use ieee.numeric_std.all;
61
 
62
entity rxaui_0_ff_synchronizer is
63
    generic (
64
      C_NUM_SYNC_REGS  : integer := 3
65
    );
66
    port (
67
      clk              : in  std_logic;
68
      data_in          : in  std_logic;
69
      data_out         : out std_logic
70
      );
71
end rxaui_0_ff_synchronizer;
72
 
73
architecture rtl of rxaui_0_ff_synchronizer is
74
  signal sync_r : std_logic_vector(C_NUM_SYNC_REGS -1 downto 0) := (others => '0');
75
 
76
  --ASYNC_REG attributes
77
  attribute ASYNC_REG : string;
78
  attribute shreg_extract : string;
79
  attribute ASYNC_REG of sync_r        : signal is "TRUE";
80
  attribute shreg_extract of sync_r    : signal is "no";
81
 
82
begin
83
  process(clk) begin
84
    if rising_edge(clk) then
85
      sync_r <= sync_r(C_NUM_SYNC_REGS - 2 downto 0) & data_in;
86
    end if;
87
  end process;
88
 
89
  data_out <= sync_r(C_NUM_SYNC_REGS - 1);
90
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.