1 |
4 |
DFC |
-------------------------------------------------------------------------------
|
2 |
|
|
-- ____ ____
|
3 |
|
|
-- / /\/ /
|
4 |
|
|
-- /___/ \ / Vendor: Xilinx
|
5 |
|
|
-- \ \ \/ Version : 3.5
|
6 |
|
|
-- \ \ Application : 7 Series FPGAs Transceivers Wizard
|
7 |
|
|
-- / / Filename : rxaui_0_gt_wrapper_gt.vhd
|
8 |
|
|
-- /___/ /\
|
9 |
|
|
-- \ \ / \
|
10 |
|
|
-- \___\/\___\
|
11 |
|
|
--
|
12 |
|
|
--
|
13 |
|
|
-- Module rxaui_0_gt_wrapper_GT (a GT Wrapper)
|
14 |
|
|
-- Generated by Xilinx 7 Series FPGAs Transceivers Wizard
|
15 |
|
|
--
|
16 |
|
|
--
|
17 |
|
|
-- (c) Copyright 2010-2012 Xilinx, Inc. All rights reserved.
|
18 |
|
|
--
|
19 |
|
|
-- This file contains confidential and proprietary information
|
20 |
|
|
-- of Xilinx, Inc. and is protected under U.S. and
|
21 |
|
|
-- international copyright and other intellectual property
|
22 |
|
|
-- laws.
|
23 |
|
|
--
|
24 |
|
|
-- DISCLAIMER
|
25 |
|
|
-- This disclaimer is not a license and does not grant any
|
26 |
|
|
-- rights to the materials distributed herewith. Except as
|
27 |
|
|
-- otherwise provided in a valid license issued to you by
|
28 |
|
|
-- Xilinx, and to the maximum extent permitted by applicable
|
29 |
|
|
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
30 |
|
|
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
31 |
|
|
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
32 |
|
|
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
33 |
|
|
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
34 |
|
|
-- (2) Xilinx shall not be liable (whether in contract or tort,
|
35 |
|
|
-- including negligence, or under any other theory of
|
36 |
|
|
-- liability) for any loss or damage of any kind or nature
|
37 |
|
|
-- related to, arising under or in connection with these
|
38 |
|
|
-- materials, including for any direct, or any indirect,
|
39 |
|
|
-- special, incidental, or consequential loss or damage
|
40 |
|
|
-- (including loss of data, profits, goodwill, or any type of
|
41 |
|
|
-- loss or damage suffered as a result of any action brought
|
42 |
|
|
-- by a third party) even if such damage or loss was
|
43 |
|
|
-- reasonably foreseeable or Xilinx had been advised of the
|
44 |
|
|
-- possibility of the same.
|
45 |
|
|
--
|
46 |
|
|
-- CRITICAL APPLICATIONS
|
47 |
|
|
-- Xilinx products are not designed or intended to be fail-
|
48 |
|
|
-- safe, or for use in any application requiring fail-safe
|
49 |
|
|
-- performance, such as life-support or safety devices or
|
50 |
|
|
-- systems, Class III medical devices, nuclear facilities,
|
51 |
|
|
-- applications related to the deployment of airbags, or any
|
52 |
|
|
-- other applications that could lead to death, personal
|
53 |
|
|
-- injury, or severe property or environmental damage
|
54 |
|
|
-- (individually and collectively, "Critical
|
55 |
|
|
-- Applications"). Customer assumes the sole risk and
|
56 |
|
|
-- liability of any use of Xilinx products in Critical
|
57 |
|
|
-- Applications, subject only to applicable laws and
|
58 |
|
|
-- regulations governing limitations on product liability.
|
59 |
|
|
--
|
60 |
|
|
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
61 |
|
|
-- PART OF THIS FILE AT ALL TIMES.
|
62 |
|
|
|
63 |
|
|
|
64 |
|
|
library ieee;
|
65 |
|
|
use ieee.std_logic_1164.all;
|
66 |
|
|
use ieee.numeric_std.all;
|
67 |
|
|
library UNISIM;
|
68 |
|
|
use UNISIM.VCOMPONENTS.ALL;
|
69 |
|
|
|
70 |
|
|
--***************************** Entity Declaration ****************************
|
71 |
|
|
|
72 |
|
|
entity rxaui_0_gt_wrapper_GT is
|
73 |
|
|
generic
|
74 |
|
|
(
|
75 |
|
|
-- Simulation attributes
|
76 |
|
|
GT_SIM_GTRESET_SPEEDUP : string := "FALSE"; -- Set to "TRUE" to speed up sim reset
|
77 |
|
|
RX_DFE_KL_CFG2_IN : bit_vector := X"301148AC";
|
78 |
|
|
SIM_CPLLREFCLK_SEL : bit_vector := "001";
|
79 |
|
|
PMA_RSV_IN : bit_vector := x"00018480";
|
80 |
|
|
PCS_RSVD_ATTR_IN : bit_vector := X"000000000000"
|
81 |
|
|
);
|
82 |
|
|
port
|
83 |
|
|
(
|
84 |
|
|
cpllrefclksel_in : in std_logic_vector(2 downto 0);
|
85 |
|
|
---------------------------- Channel - DRP Ports --------------------------
|
86 |
|
|
drpaddr_in : in std_logic_vector(8 downto 0);
|
87 |
|
|
drpclk_in : in std_logic;
|
88 |
|
|
drpdi_in : in std_logic_vector(15 downto 0);
|
89 |
|
|
drpdo_out : out std_logic_vector(15 downto 0);
|
90 |
|
|
drpen_in : in std_logic;
|
91 |
|
|
drprdy_out : out std_logic;
|
92 |
|
|
drpwe_in : in std_logic;
|
93 |
|
|
------------------------------- Clocking Ports -----------------------------
|
94 |
|
|
qpllclk_in : in std_logic;
|
95 |
|
|
qpllrefclk_in : in std_logic;
|
96 |
|
|
--------------------------- Digital Monitor Ports --------------------------
|
97 |
|
|
dmonitorout_out : out std_logic_vector(7 downto 0);
|
98 |
|
|
------------------------------- Loopback Ports -----------------------------
|
99 |
|
|
loopback_in : in std_logic_vector(2 downto 0);
|
100 |
|
|
----------------------------- PCI Express Ports ----------------------------
|
101 |
|
|
rxrate_in : in std_logic_vector(2 downto 0);
|
102 |
|
|
------------------------------ Power-Down Ports ----------------------------
|
103 |
|
|
rxpd_in : in std_logic_vector(1 downto 0);
|
104 |
|
|
txpd_in : in std_logic_vector(1 downto 0);
|
105 |
|
|
--------------------- RX Initialization and Reset Ports --------------------
|
106 |
|
|
eyescanreset_in : in std_logic;
|
107 |
|
|
rxuserrdy_in : in std_logic;
|
108 |
|
|
-------------------------- RX Margin Analysis Ports ------------------------
|
109 |
|
|
eyescandataerror_out : out std_logic;
|
110 |
|
|
eyescantrigger_in : in std_logic;
|
111 |
|
|
------------------------- Receive Ports - CDR Ports ------------------------
|
112 |
|
|
rxcdrhold_in : in std_logic;
|
113 |
|
|
------------------- Receive Ports - Clock Correction Ports -----------------
|
114 |
|
|
rxclkcorcnt_out : out std_logic_vector(1 downto 0);
|
115 |
|
|
------------------ Receive Ports - FPGA RX Interface Ports -----------------
|
116 |
|
|
rxusrclk_in : in std_logic;
|
117 |
|
|
rxusrclk2_in : in std_logic;
|
118 |
|
|
------------------ Receive Ports - FPGA RX interface Ports -----------------
|
119 |
|
|
rxdata_out : out std_logic_vector(31 downto 0);
|
120 |
|
|
------------------- Receive Ports - Pattern Checker Ports ------------------
|
121 |
|
|
rxprbserr_out : out std_logic;
|
122 |
|
|
rxprbssel_in : in std_logic_vector(2 downto 0);
|
123 |
|
|
------------------- Receive Ports - Pattern Checker ports ------------------
|
124 |
|
|
rxprbscntreset_in : in std_logic;
|
125 |
|
|
------------------ Receive Ports - RX 8B/10B Decoder Ports -----------------
|
126 |
|
|
rxdisperr_out : out std_logic_vector(3 downto 0);
|
127 |
|
|
rxnotintable_out : out std_logic_vector(3 downto 0);
|
128 |
|
|
--------------------------- Receive Ports - RX AFE -------------------------
|
129 |
|
|
gtxrxp_in : in std_logic;
|
130 |
|
|
------------------------ Receive Ports - RX AFE Ports ----------------------
|
131 |
|
|
gtxrxn_in : in std_logic;
|
132 |
|
|
------------------- Receive Ports - RX Buffer Bypass Ports -----------------
|
133 |
|
|
rxbufreset_in : in std_logic;
|
134 |
|
|
rxbufstatus_out : out std_logic_vector(2 downto 0);
|
135 |
|
|
-------------- Receive Ports - RX Byte and Word Alignment Ports ------------
|
136 |
|
|
rxbyteisaligned_out : out std_logic;
|
137 |
|
|
rxbyterealign_out : out std_logic;
|
138 |
|
|
rxcommadet_out : out std_logic;
|
139 |
|
|
rxmcommaalignen_in : in std_logic;
|
140 |
|
|
rxpcommaalignen_in : in std_logic;
|
141 |
|
|
------------------ Receive Ports - RX Channel Bonding Ports ----------------
|
142 |
|
|
rxchanbondseq_out : out std_logic;
|
143 |
|
|
rxchbonden_in : in std_logic;
|
144 |
|
|
rxchbondlevel_in : in std_logic_vector(2 downto 0);
|
145 |
|
|
rxchbondmaster_in : in std_logic;
|
146 |
|
|
rxchbondo_out : out std_logic_vector(4 downto 0);
|
147 |
|
|
rxchbondslave_in : in std_logic;
|
148 |
|
|
----------------- Receive Ports - RX Channel Bonding Ports ----------------
|
149 |
|
|
rxchanisaligned_out : out std_logic;
|
150 |
|
|
rxchanrealign_out : out std_logic;
|
151 |
|
|
-------------------- Receive Ports - RX Equailizer Ports -------------------
|
152 |
|
|
rxlpmhfhold_in : in std_logic;
|
153 |
|
|
rxlpmlfhold_in : in std_logic;
|
154 |
|
|
--------------------- Receive Ports - RX Equalizer Ports -------------------
|
155 |
|
|
rxdfelpmreset_in : in std_logic;
|
156 |
|
|
rxmonitorout_out : out std_logic_vector(6 downto 0);
|
157 |
|
|
rxmonitorsel_in : in std_logic_vector(1 downto 0);
|
158 |
|
|
------------ Receive Ports - RX Fabric ClocK Output Control Ports ----------
|
159 |
|
|
rxratedone_out : out std_logic;
|
160 |
|
|
--------------- Receive Ports - RX Fabric Output Control Ports -------------
|
161 |
|
|
rxoutclk_out : out std_logic;
|
162 |
|
|
------------- Receive Ports - RX Initialization and Reset Ports ------------
|
163 |
|
|
gtrxreset_in : in std_logic;
|
164 |
|
|
rxpcsreset_in : in std_logic;
|
165 |
|
|
rxpmareset_in : in std_logic;
|
166 |
|
|
------------------ Receive Ports - RX Margin Analysis ports ----------------
|
167 |
|
|
rxlpmen_in : in std_logic;
|
168 |
|
|
----------------- Receive Ports - RX Polarity Control Ports ----------------
|
169 |
|
|
rxpolarity_in : in std_logic;
|
170 |
|
|
------------------- Receive Ports - RX8B/10B Decoder Ports -----------------
|
171 |
|
|
rxchariscomma_out : out std_logic_vector(3 downto 0);
|
172 |
|
|
rxcharisk_out : out std_logic_vector(3 downto 0);
|
173 |
|
|
------------------ Receive Ports - Rx Channel Bonding Ports ----------------
|
174 |
|
|
rxchbondi_in : in std_logic_vector(4 downto 0);
|
175 |
|
|
-------------- Receive Ports -RX Initialization and Reset Ports ------------
|
176 |
|
|
rxresetdone_out : out std_logic;
|
177 |
|
|
------------------------ TX Configurable Driver Ports ----------------------
|
178 |
|
|
txpostcursor_in : in std_logic_vector(4 downto 0);
|
179 |
|
|
txprecursor_in : in std_logic_vector(4 downto 0);
|
180 |
|
|
--------------------- TX Initialization and Reset Ports --------------------
|
181 |
|
|
gttxreset_in : in std_logic;
|
182 |
|
|
txuserrdy_in : in std_logic;
|
183 |
|
|
------------------ Transmit Ports - FPGA TX Interface Ports ----------------
|
184 |
|
|
txusrclk_in : in std_logic;
|
185 |
|
|
txusrclk2_in : in std_logic;
|
186 |
|
|
--------------------- Transmit Ports - PCI Express Ports -------------------
|
187 |
|
|
txelecidle_in : in std_logic;
|
188 |
|
|
------------------ Transmit Ports - Pattern Generator Ports ----------------
|
189 |
|
|
txprbsforceerr_in : in std_logic;
|
190 |
|
|
------------------ Transmit Ports - TX Buffer Bypass Ports -----------------
|
191 |
|
|
txdlyen_in : in std_logic;
|
192 |
|
|
txdlysreset_in : in std_logic;
|
193 |
|
|
txdlysresetdone_out : out std_logic;
|
194 |
|
|
txphalign_in : in std_logic;
|
195 |
|
|
txphaligndone_out : out std_logic;
|
196 |
|
|
txphalignen_in : in std_logic;
|
197 |
|
|
txphdlyreset_in : in std_logic;
|
198 |
|
|
txphinit_in : in std_logic;
|
199 |
|
|
txphinitdone_out : out std_logic;
|
200 |
|
|
--------------- Transmit Ports - TX Configurable Driver Ports --------------
|
201 |
|
|
txdiffctrl_in : in std_logic_vector(3 downto 0);
|
202 |
|
|
txinhibit_in : in std_logic;
|
203 |
|
|
------------------ Transmit Ports - TX Data Path interface -----------------
|
204 |
|
|
txdata_in : in std_logic_vector(31 downto 0);
|
205 |
|
|
---------------- Transmit Ports - TX Driver and OOB signaling --------------
|
206 |
|
|
gtxtxn_out : out std_logic;
|
207 |
|
|
gtxtxp_out : out std_logic;
|
208 |
|
|
----------- Transmit Ports - TX Fabric Clock Output Control Ports ----------
|
209 |
|
|
txoutclk_out : out std_logic;
|
210 |
|
|
txoutclkfabric_out : out std_logic;
|
211 |
|
|
txoutclkpcs_out : out std_logic;
|
212 |
|
|
--------------------- Transmit Ports - TX Gearbox Ports --------------------
|
213 |
|
|
txcharisk_in : in std_logic_vector(3 downto 0);
|
214 |
|
|
------------- Transmit Ports - TX Initialization and Reset Ports -----------
|
215 |
|
|
txpcsreset_in : in std_logic;
|
216 |
|
|
txpmareset_in : in std_logic;
|
217 |
|
|
txresetdone_out : out std_logic;
|
218 |
|
|
----------------- Transmit Ports - TX Polarity Control Ports ---------------
|
219 |
|
|
txpolarity_in : in std_logic;
|
220 |
|
|
------------------ Transmit Ports - pattern Generator Ports ----------------
|
221 |
|
|
txprbssel_in : in std_logic_vector(2 downto 0)
|
222 |
|
|
|
223 |
|
|
|
224 |
|
|
);
|
225 |
|
|
|
226 |
|
|
|
227 |
|
|
end rxaui_0_gt_wrapper_GT;
|
228 |
|
|
|
229 |
|
|
architecture RTL of rxaui_0_gt_wrapper_GT is
|
230 |
|
|
|
231 |
|
|
--**************************** Signal Declarations ****************************
|
232 |
|
|
|
233 |
|
|
-- ground and tied_to_vcc_i signals
|
234 |
|
|
signal tied_to_ground_i : std_logic;
|
235 |
|
|
signal tied_to_ground_vec_i : std_logic_vector(63 downto 0);
|
236 |
|
|
signal tied_to_vcc_i : std_logic;
|
237 |
|
|
|
238 |
|
|
|
239 |
|
|
|
240 |
|
|
-- RX Datapath signals
|
241 |
|
|
signal rxdata_i : std_logic_vector(63 downto 0);
|
242 |
|
|
signal rxchariscomma_float_i : std_logic_vector(3 downto 0);
|
243 |
|
|
signal rxcharisk_float_i : std_logic_vector(3 downto 0);
|
244 |
|
|
signal rxdisperr_float_i : std_logic_vector(3 downto 0);
|
245 |
|
|
signal rxnotintable_float_i : std_logic_vector(3 downto 0);
|
246 |
|
|
signal rxrundisp_float_i : std_logic_vector(3 downto 0);
|
247 |
|
|
|
248 |
|
|
|
249 |
|
|
-- TX Datapath signals
|
250 |
|
|
signal txdata_i : std_logic_vector(63 downto 0);
|
251 |
|
|
signal txkerr_float_i : std_logic_vector(3 downto 0);
|
252 |
|
|
signal txrundisp_float_i : std_logic_vector(3 downto 0);
|
253 |
|
|
signal rxstartofseq_float_i : std_logic;
|
254 |
|
|
--******************************** Main Body of Code***************************
|
255 |
|
|
|
256 |
|
|
begin
|
257 |
|
|
|
258 |
|
|
--------------------------- Static signal Assignments ---------------------
|
259 |
|
|
|
260 |
|
|
tied_to_ground_i <= '0';
|
261 |
|
|
tied_to_ground_vec_i(63 downto 0) <= (others => '0');
|
262 |
|
|
tied_to_vcc_i <= '1';
|
263 |
|
|
|
264 |
|
|
------------------- GT Datapath byte mapping -----------------
|
265 |
|
|
-- The GT provides little endian data (first byte received on RXDATA(7 downto 0))
|
266 |
|
|
RXDATA_OUT <= rxdata_i(31 downto 0);
|
267 |
|
|
|
268 |
|
|
txdata_i <= (tied_to_ground_vec_i(31 downto 0) & TXDATA_IN);
|
269 |
|
|
|
270 |
|
|
|
271 |
|
|
|
272 |
|
|
----------------------------- GTXE2 Instance --------------------------
|
273 |
|
|
|
274 |
|
|
gtxe2_i :GTXE2_CHANNEL
|
275 |
|
|
generic map
|
276 |
|
|
(
|
277 |
|
|
|
278 |
|
|
--_______________________ Simulation-Only Attributes ___________________
|
279 |
|
|
|
280 |
|
|
SIM_RECEIVER_DETECT_PASS => ("TRUE"),
|
281 |
|
|
SIM_RESET_SPEEDUP => (GT_SIM_GTRESET_SPEEDUP),
|
282 |
|
|
SIM_TX_EIDLE_DRIVE_LEVEL => ("X"),
|
283 |
|
|
SIM_CPLLREFCLK_SEL => (SIM_CPLLREFCLK_SEL),
|
284 |
|
|
SIM_VERSION => ("4.0"),
|
285 |
|
|
|
286 |
|
|
|
287 |
|
|
------------------RX Byte and Word Alignment Attributes---------------
|
288 |
|
|
ALIGN_COMMA_DOUBLE => ("FALSE"),
|
289 |
|
|
ALIGN_COMMA_ENABLE => ("0001111111"),
|
290 |
|
|
ALIGN_COMMA_WORD => (1),
|
291 |
|
|
ALIGN_MCOMMA_DET => ("TRUE"),
|
292 |
|
|
ALIGN_MCOMMA_VALUE => ("1010000011"),
|
293 |
|
|
ALIGN_PCOMMA_DET => ("TRUE"),
|
294 |
|
|
ALIGN_PCOMMA_VALUE => ("0101111100"),
|
295 |
|
|
SHOW_REALIGN_COMMA => ("TRUE"),
|
296 |
|
|
RXSLIDE_AUTO_WAIT => (7),
|
297 |
|
|
RXSLIDE_MODE => ("OFF"),
|
298 |
|
|
RX_SIG_VALID_DLY => (10),
|
299 |
|
|
|
300 |
|
|
------------------RX 8B/10B Decoder Attributes---------------
|
301 |
|
|
RX_DISPERR_SEQ_MATCH => ("TRUE"),
|
302 |
|
|
DEC_MCOMMA_DETECT => ("TRUE"),
|
303 |
|
|
DEC_PCOMMA_DETECT => ("TRUE"),
|
304 |
|
|
DEC_VALID_COMMA_ONLY => ("TRUE"),
|
305 |
|
|
|
306 |
|
|
------------------------RX Clock Correction Attributes----------------------
|
307 |
|
|
CBCC_DATA_SOURCE_SEL => ("DECODED"),
|
308 |
|
|
CLK_COR_SEQ_2_USE => ("FALSE"),
|
309 |
|
|
CLK_COR_KEEP_IDLE => ("FALSE"),
|
310 |
|
|
CLK_COR_MAX_LAT => (32),
|
311 |
|
|
CLK_COR_MIN_LAT => (27),
|
312 |
|
|
CLK_COR_PRECEDENCE => ("TRUE"),
|
313 |
|
|
CLK_COR_REPEAT_WAIT => (0),
|
314 |
|
|
CLK_COR_SEQ_LEN => (2),
|
315 |
|
|
CLK_COR_SEQ_1_ENABLE => ("1111"),
|
316 |
|
|
CLK_COR_SEQ_1_1 => ("0100011100"),
|
317 |
|
|
CLK_COR_SEQ_1_2 => ("0100011100"),
|
318 |
|
|
CLK_COR_SEQ_1_3 => ("0100000000"),
|
319 |
|
|
CLK_COR_SEQ_1_4 => ("0100000000"),
|
320 |
|
|
CLK_CORRECT_USE => ("TRUE"),
|
321 |
|
|
CLK_COR_SEQ_2_ENABLE => ("1111"),
|
322 |
|
|
CLK_COR_SEQ_2_1 => ("0100000000"),
|
323 |
|
|
CLK_COR_SEQ_2_2 => ("0100000000"),
|
324 |
|
|
CLK_COR_SEQ_2_3 => ("0100000000"),
|
325 |
|
|
CLK_COR_SEQ_2_4 => ("0100000000"),
|
326 |
|
|
|
327 |
|
|
------------------------RX Channel Bonding Attributes----------------------
|
328 |
|
|
CHAN_BOND_KEEP_ALIGN => ("FALSE"),
|
329 |
|
|
CHAN_BOND_MAX_SKEW => (7),
|
330 |
|
|
CHAN_BOND_SEQ_LEN => (2),
|
331 |
|
|
CHAN_BOND_SEQ_1_1 => ("0101111100"),
|
332 |
|
|
CHAN_BOND_SEQ_1_2 => ("0101111100"),
|
333 |
|
|
CHAN_BOND_SEQ_1_3 => ("0000000000"),
|
334 |
|
|
CHAN_BOND_SEQ_1_4 => ("0000000000"),
|
335 |
|
|
CHAN_BOND_SEQ_1_ENABLE => ("1111"),
|
336 |
|
|
CHAN_BOND_SEQ_2_1 => ("0100000000"),
|
337 |
|
|
CHAN_BOND_SEQ_2_2 => ("0100000000"),
|
338 |
|
|
CHAN_BOND_SEQ_2_3 => ("0100000000"),
|
339 |
|
|
CHAN_BOND_SEQ_2_4 => ("0100000000"),
|
340 |
|
|
CHAN_BOND_SEQ_2_ENABLE => ("1111"),
|
341 |
|
|
CHAN_BOND_SEQ_2_USE => ("FALSE"),
|
342 |
|
|
FTS_DESKEW_SEQ_ENABLE => ("1111"),
|
343 |
|
|
FTS_LANE_DESKEW_CFG => ("1111"),
|
344 |
|
|
FTS_LANE_DESKEW_EN => ("FALSE"),
|
345 |
|
|
|
346 |
|
|
---------------------------RX Margin Analysis Attributes----------------------------
|
347 |
|
|
ES_CONTROL => ("000000"),
|
348 |
|
|
ES_ERRDET_EN => ("FALSE"),
|
349 |
|
|
ES_EYE_SCAN_EN => ("TRUE"),
|
350 |
|
|
ES_HORZ_OFFSET => (x"000"),
|
351 |
|
|
ES_PMA_CFG => ("0000000000"),
|
352 |
|
|
ES_PRESCALE => ("00000"),
|
353 |
|
|
ES_QUALIFIER => (x"00000000000000000000"),
|
354 |
|
|
ES_QUAL_MASK => (x"00000000000000000000"),
|
355 |
|
|
ES_SDATA_MASK => (x"00000000000000000000"),
|
356 |
|
|
ES_VERT_OFFSET => ("000000000"),
|
357 |
|
|
|
358 |
|
|
-------------------------FPGA RX Interface Attributes-------------------------
|
359 |
|
|
RX_DATA_WIDTH => (40),
|
360 |
|
|
|
361 |
|
|
---------------------------PMA Attributes----------------------------
|
362 |
|
|
OUTREFCLK_SEL_INV => ("11"),
|
363 |
|
|
PMA_RSV => (PMA_RSV_IN),
|
364 |
|
|
PMA_RSV2 => (x"2050"),
|
365 |
|
|
PMA_RSV3 => ("00"),
|
366 |
|
|
PMA_RSV4 => (x"00000000"),
|
367 |
|
|
RX_BIAS_CFG => ("000000000100"),
|
368 |
|
|
DMONITOR_CFG => (x"000A00"),
|
369 |
|
|
RX_CM_SEL => ("11"),
|
370 |
|
|
RX_CM_TRIM => ("010"),
|
371 |
|
|
RX_DEBUG_CFG => ("000000000000"),
|
372 |
|
|
RX_OS_CFG => ("0000010000000"),
|
373 |
|
|
TERM_RCAL_CFG => ("10000"),
|
374 |
|
|
TERM_RCAL_OVRD => ('0'),
|
375 |
|
|
TST_RSV => (x"00000000"),
|
376 |
|
|
RX_CLK25_DIV => (7),
|
377 |
|
|
TX_CLK25_DIV => (7),
|
378 |
|
|
UCODEER_CLR => ('0'),
|
379 |
|
|
|
380 |
|
|
---------------------------PCI Express Attributes----------------------------
|
381 |
|
|
PCS_PCIE_EN => ("FALSE"),
|
382 |
|
|
|
383 |
|
|
---------------------------PCS Attributes----------------------------
|
384 |
|
|
PCS_RSVD_ATTR => (PCS_RSVD_ATTR_IN),
|
385 |
|
|
|
386 |
|
|
-------------RX Buffer Attributes------------
|
387 |
|
|
RXBUF_ADDR_MODE => ("FULL"),
|
388 |
|
|
RXBUF_EIDLE_HI_CNT => ("1000"),
|
389 |
|
|
RXBUF_EIDLE_LO_CNT => ("0000"),
|
390 |
|
|
RXBUF_EN => ("TRUE"),
|
391 |
|
|
RX_BUFFER_CFG => ("000000"),
|
392 |
|
|
RXBUF_RESET_ON_CB_CHANGE => ("TRUE"),
|
393 |
|
|
RXBUF_RESET_ON_COMMAALIGN => ("FALSE"),
|
394 |
|
|
RXBUF_RESET_ON_EIDLE => ("FALSE"),
|
395 |
|
|
RXBUF_RESET_ON_RATE_CHANGE => ("TRUE"),
|
396 |
|
|
RXBUFRESET_TIME => ("00001"),
|
397 |
|
|
RXBUF_THRESH_OVFLW => (61),
|
398 |
|
|
RXBUF_THRESH_OVRD => ("FALSE"),
|
399 |
|
|
RXBUF_THRESH_UNDFLW => (4),
|
400 |
|
|
RXDLY_CFG => (x"001F"),
|
401 |
|
|
RXDLY_LCFG => (x"030"),
|
402 |
|
|
RXDLY_TAP_CFG => (x"0000"),
|
403 |
|
|
RXPH_CFG => (x"000000"),
|
404 |
|
|
RXPHDLY_CFG => (x"084020"),
|
405 |
|
|
RXPH_MONITOR_SEL => ("00000"),
|
406 |
|
|
RX_XCLK_SEL => ("RXREC"),
|
407 |
|
|
RX_DDI_SEL => ("000000"),
|
408 |
|
|
RX_DEFER_RESET_BUF_EN => ("TRUE"),
|
409 |
|
|
|
410 |
|
|
-----------------------CDR Attributes-------------------------
|
411 |
|
|
|
412 |
|
|
--For Display Port, HBR/RBR- set RXCDR_CFG=72'h0380008bff40200008
|
413 |
|
|
|
414 |
|
|
--For Display Port, HBR2 - set RXCDR_CFG=72'h038c008bff20200010
|
415 |
|
|
|
416 |
|
|
--For SATA Gen1 GTX- set RXCDR_CFG=72'h03_8000_8BFF_4010_0008
|
417 |
|
|
|
418 |
|
|
--For SATA Gen2 GTX- set RXCDR_CFG=72'h03_8800_8BFF_4020_0008
|
419 |
|
|
|
420 |
|
|
--For SATA Gen3 GTX- set RXCDR_CFG=72'h03_8000_8BFF_1020_0010
|
421 |
|
|
|
422 |
|
|
--For SATA Gen3 GTP- set RXCDR_CFG=83'h0_0000_87FE_2060_2444_1010
|
423 |
|
|
|
424 |
|
|
--For SATA Gen2 GTP- set RXCDR_CFG=83'h0_0000_47FE_2060_2448_1010
|
425 |
|
|
|
426 |
|
|
--For SATA Gen1 GTP- set RXCDR_CFG=83'h0_0000_47FE_1060_2448_1010
|
427 |
|
|
RXCDR_CFG => (x"03000023ff10400020"),
|
428 |
|
|
RXCDR_FR_RESET_ON_EIDLE => ('0'),
|
429 |
|
|
RXCDR_HOLD_DURING_EIDLE => ('0'),
|
430 |
|
|
RXCDR_PH_RESET_ON_EIDLE => ('0'),
|
431 |
|
|
RXCDR_LOCK_CFG => ("010101"),
|
432 |
|
|
|
433 |
|
|
-------------------RX Initialization and Reset Attributes-------------------
|
434 |
|
|
RXCDRFREQRESET_TIME => ("00001"),
|
435 |
|
|
RXCDRPHRESET_TIME => ("00001"),
|
436 |
|
|
RXISCANRESET_TIME => ("00001"),
|
437 |
|
|
RXPCSRESET_TIME => ("00001"),
|
438 |
|
|
RXPMARESET_TIME => ("00011"),
|
439 |
|
|
|
440 |
|
|
-------------------RX OOB Signaling Attributes-------------------
|
441 |
|
|
RXOOB_CFG => ("0000110"),
|
442 |
|
|
|
443 |
|
|
-------------------------RX Gearbox Attributes---------------------------
|
444 |
|
|
RXGEARBOX_EN => ("FALSE"),
|
445 |
|
|
GEARBOX_MODE => ("000"),
|
446 |
|
|
|
447 |
|
|
-------------------------PRBS Detection Attribute-----------------------
|
448 |
|
|
RXPRBS_ERR_LOOPBACK => ('0'),
|
449 |
|
|
|
450 |
|
|
-------------Power-Down Attributes----------
|
451 |
|
|
PD_TRANS_TIME_FROM_P2 => (x"03c"),
|
452 |
|
|
PD_TRANS_TIME_NONE_P2 => (x"19"),
|
453 |
|
|
PD_TRANS_TIME_TO_P2 => (x"64"),
|
454 |
|
|
|
455 |
|
|
-------------RX OOB Signaling Attributes----------
|
456 |
|
|
SAS_MAX_COM => (64),
|
457 |
|
|
SAS_MIN_COM => (36),
|
458 |
|
|
SATA_BURST_SEQ_LEN => ("0101"),
|
459 |
|
|
SATA_BURST_VAL => ("100"),
|
460 |
|
|
SATA_EIDLE_VAL => ("100"),
|
461 |
|
|
SATA_MAX_BURST => (8),
|
462 |
|
|
SATA_MAX_INIT => (21),
|
463 |
|
|
SATA_MAX_WAKE => (7),
|
464 |
|
|
SATA_MIN_BURST => (4),
|
465 |
|
|
SATA_MIN_INIT => (12),
|
466 |
|
|
SATA_MIN_WAKE => (4),
|
467 |
|
|
|
468 |
|
|
-------------RX Fabric Clock Output Control Attributes----------
|
469 |
|
|
TRANS_TIME_RATE => (x"0E"),
|
470 |
|
|
|
471 |
|
|
--------------TX Buffer Attributes----------------
|
472 |
|
|
TXBUF_EN => ("FALSE"),
|
473 |
|
|
TXBUF_RESET_ON_RATE_CHANGE => ("TRUE"),
|
474 |
|
|
TXDLY_CFG => (x"001F"),
|
475 |
|
|
TXDLY_LCFG => (x"030"),
|
476 |
|
|
TXDLY_TAP_CFG => (x"0000"),
|
477 |
|
|
TXPH_CFG => (x"0780"),
|
478 |
|
|
TXPHDLY_CFG => (x"084020"),
|
479 |
|
|
TXPH_MONITOR_SEL => ("00000"),
|
480 |
|
|
TX_XCLK_SEL => ("TXUSR"),
|
481 |
|
|
|
482 |
|
|
-------------------------FPGA TX Interface Attributes-------------------------
|
483 |
|
|
TX_DATA_WIDTH => (40),
|
484 |
|
|
|
485 |
|
|
-------------------------TX Configurable Driver Attributes-------------------------
|
486 |
|
|
TX_DEEMPH0 => ("00000"),
|
487 |
|
|
TX_DEEMPH1 => ("00000"),
|
488 |
|
|
TX_EIDLE_ASSERT_DELAY => ("110"),
|
489 |
|
|
TX_EIDLE_DEASSERT_DELAY => ("100"),
|
490 |
|
|
TX_LOOPBACK_DRIVE_HIZ => ("FALSE"),
|
491 |
|
|
TX_MAINCURSOR_SEL => ('0'),
|
492 |
|
|
TX_DRIVE_MODE => ("DIRECT"),
|
493 |
|
|
TX_MARGIN_FULL_0 => ("1001110"),
|
494 |
|
|
TX_MARGIN_FULL_1 => ("1001001"),
|
495 |
|
|
TX_MARGIN_FULL_2 => ("1000101"),
|
496 |
|
|
TX_MARGIN_FULL_3 => ("1000010"),
|
497 |
|
|
TX_MARGIN_FULL_4 => ("1000000"),
|
498 |
|
|
TX_MARGIN_LOW_0 => ("1000110"),
|
499 |
|
|
TX_MARGIN_LOW_1 => ("1000100"),
|
500 |
|
|
TX_MARGIN_LOW_2 => ("1000010"),
|
501 |
|
|
TX_MARGIN_LOW_3 => ("1000000"),
|
502 |
|
|
TX_MARGIN_LOW_4 => ("1000000"),
|
503 |
|
|
|
504 |
|
|
-------------------------TX Gearbox Attributes--------------------------
|
505 |
|
|
TXGEARBOX_EN => ("FALSE"),
|
506 |
|
|
|
507 |
|
|
-------------------------TX Initialization and Reset Attributes--------------------------
|
508 |
|
|
TXPCSRESET_TIME => ("00001"),
|
509 |
|
|
TXPMARESET_TIME => ("00001"),
|
510 |
|
|
|
511 |
|
|
-------------------------TX Receiver Detection Attributes--------------------------
|
512 |
|
|
TX_RXDETECT_CFG => (x"1832"),
|
513 |
|
|
TX_RXDETECT_REF => ("100"),
|
514 |
|
|
|
515 |
|
|
----------------------------CPLL Attributes----------------------------
|
516 |
|
|
CPLL_CFG => (x"BC07DC"),
|
517 |
|
|
CPLL_FBDIV => (4),
|
518 |
|
|
CPLL_FBDIV_45 => (5),
|
519 |
|
|
CPLL_INIT_CFG => (x"00001E"),
|
520 |
|
|
CPLL_LOCK_CFG => (x"01E8"),
|
521 |
|
|
CPLL_REFCLK_DIV => (1),
|
522 |
|
|
RXOUT_DIV => (1),
|
523 |
|
|
TXOUT_DIV => (1),
|
524 |
|
|
SATA_CPLL_CFG => ("VCO_3000MHZ"),
|
525 |
|
|
|
526 |
|
|
--------------RX Initialization and Reset Attributes-------------
|
527 |
|
|
RXDFELPMRESET_TIME => ("0001111"),
|
528 |
|
|
|
529 |
|
|
--------------RX Equalizer Attributes-------------
|
530 |
|
|
RXLPM_HF_CFG => ("00000011110000"),
|
531 |
|
|
RXLPM_LF_CFG => ("00000011110000"),
|
532 |
|
|
RX_DFE_GAIN_CFG => (x"020FEA"),
|
533 |
|
|
RX_DFE_H2_CFG => ("000000000000"),
|
534 |
|
|
RX_DFE_H3_CFG => ("000001000000"),
|
535 |
|
|
RX_DFE_H4_CFG => ("00011110000"),
|
536 |
|
|
RX_DFE_H5_CFG => ("00011100000"),
|
537 |
|
|
RX_DFE_KL_CFG => ("0000011111110"),
|
538 |
|
|
RX_DFE_LPM_CFG => (x"0904"),
|
539 |
|
|
RX_DFE_LPM_HOLD_DURING_EIDLE => ('0'),
|
540 |
|
|
RX_DFE_UT_CFG => ("10001111000000000"),
|
541 |
|
|
RX_DFE_VP_CFG => ("00011111100000011"),
|
542 |
|
|
|
543 |
|
|
-------------------------Power-Down Attributes-------------------------
|
544 |
|
|
RX_CLKMUX_PD => ('1'),
|
545 |
|
|
TX_CLKMUX_PD => ('1'),
|
546 |
|
|
|
547 |
|
|
-------------------------FPGA RX Interface Attribute-------------------------
|
548 |
|
|
RX_INT_DATAWIDTH => (1),
|
549 |
|
|
|
550 |
|
|
-------------------------FPGA TX Interface Attribute-------------------------
|
551 |
|
|
TX_INT_DATAWIDTH => (1),
|
552 |
|
|
|
553 |
|
|
------------------TX Configurable Driver Attributes---------------
|
554 |
|
|
TX_QPI_STATUS_EN => ('0'),
|
555 |
|
|
|
556 |
|
|
-------------------------RX Equalizer Attributes--------------------------
|
557 |
|
|
RX_DFE_KL_CFG2 => (RX_DFE_KL_CFG2_IN),
|
558 |
|
|
RX_DFE_XYD_CFG => ("0000000000000"),
|
559 |
|
|
|
560 |
|
|
-------------------------TX Configurable Driver Attributes--------------------------
|
561 |
|
|
TX_PREDRIVER_MODE => ('0')
|
562 |
|
|
|
563 |
|
|
|
564 |
|
|
)
|
565 |
|
|
port map
|
566 |
|
|
(
|
567 |
|
|
--------------------------------- CPLL Ports -------------------------------
|
568 |
|
|
CPLLFBCLKLOST => open,
|
569 |
|
|
CPLLLOCK => open,
|
570 |
|
|
CPLLLOCKDETCLK => tied_to_ground_i,
|
571 |
|
|
CPLLLOCKEN => tied_to_vcc_i,
|
572 |
|
|
CPLLPD => tied_to_vcc_i,
|
573 |
|
|
CPLLREFCLKLOST => open,
|
574 |
|
|
CPLLREFCLKSEL => cpllrefclksel_in,
|
575 |
|
|
CPLLRESET => tied_to_ground_i,
|
576 |
|
|
GTRSVD => "0000000000000000",
|
577 |
|
|
PCSRSVDIN => "0000000000000000",
|
578 |
|
|
PCSRSVDIN2 => "00000",
|
579 |
|
|
PMARSVDIN => "00000",
|
580 |
|
|
PMARSVDIN2 => "00000",
|
581 |
|
|
TSTIN => "11111111111111111111",
|
582 |
|
|
TSTOUT => open,
|
583 |
|
|
---------------------------------- Channel ---------------------------------
|
584 |
|
|
CLKRSVD => tied_to_ground_vec_i(3 downto 0),
|
585 |
|
|
-------------------------- Channel - Clocking Ports ------------------------
|
586 |
|
|
GTGREFCLK => tied_to_ground_i,
|
587 |
|
|
GTNORTHREFCLK0 => tied_to_ground_i,
|
588 |
|
|
GTNORTHREFCLK1 => tied_to_ground_i,
|
589 |
|
|
GTREFCLK0 => tied_to_ground_i,
|
590 |
|
|
GTREFCLK1 => tied_to_ground_i,
|
591 |
|
|
GTSOUTHREFCLK0 => tied_to_ground_i,
|
592 |
|
|
GTSOUTHREFCLK1 => tied_to_ground_i,
|
593 |
|
|
---------------------------- Channel - DRP Ports --------------------------
|
594 |
|
|
DRPADDR => drpaddr_in,
|
595 |
|
|
DRPCLK => drpclk_in,
|
596 |
|
|
DRPDI => drpdi_in,
|
597 |
|
|
DRPDO => drpdo_out,
|
598 |
|
|
DRPEN => drpen_in,
|
599 |
|
|
DRPRDY => drprdy_out,
|
600 |
|
|
DRPWE => drpwe_in,
|
601 |
|
|
------------------------------- Clocking Ports -----------------------------
|
602 |
|
|
GTREFCLKMONITOR => open,
|
603 |
|
|
QPLLCLK => qpllclk_in,
|
604 |
|
|
QPLLREFCLK => qpllrefclk_in,
|
605 |
|
|
RXSYSCLKSEL => "11",
|
606 |
|
|
TXSYSCLKSEL => "11",
|
607 |
|
|
--------------------------- Digital Monitor Ports --------------------------
|
608 |
|
|
DMONITOROUT => dmonitorout_out,
|
609 |
|
|
----------------- FPGA TX Interface Datapath Configuration ----------------
|
610 |
|
|
TX8B10BEN => tied_to_vcc_i,
|
611 |
|
|
------------------------------- Loopback Ports -----------------------------
|
612 |
|
|
LOOPBACK => loopback_in,
|
613 |
|
|
----------------------------- PCI Express Ports ----------------------------
|
614 |
|
|
PHYSTATUS => open,
|
615 |
|
|
RXRATE => rxrate_in,
|
616 |
|
|
RXVALID => open,
|
617 |
|
|
------------------------------ Power-Down Ports ----------------------------
|
618 |
|
|
RXPD => rxpd_in,
|
619 |
|
|
TXPD => txpd_in,
|
620 |
|
|
-------------------------- RX 8B/10B Decoder Ports -------------------------
|
621 |
|
|
SETERRSTATUS => tied_to_ground_i,
|
622 |
|
|
--------------------- RX Initialization and Reset Ports --------------------
|
623 |
|
|
EYESCANRESET => eyescanreset_in,
|
624 |
|
|
RXUSERRDY => rxuserrdy_in,
|
625 |
|
|
-------------------------- RX Margin Analysis Ports ------------------------
|
626 |
|
|
EYESCANDATAERROR => eyescandataerror_out,
|
627 |
|
|
EYESCANMODE => tied_to_ground_i,
|
628 |
|
|
EYESCANTRIGGER => eyescantrigger_in,
|
629 |
|
|
------------------------- Receive Ports - CDR Ports ------------------------
|
630 |
|
|
RXCDRFREQRESET => tied_to_ground_i,
|
631 |
|
|
RXCDRHOLD => rxcdrhold_in,
|
632 |
|
|
RXCDRLOCK => open,
|
633 |
|
|
RXCDROVRDEN => tied_to_ground_i,
|
634 |
|
|
RXCDRRESET => tied_to_ground_i,
|
635 |
|
|
RXCDRRESETRSV => tied_to_ground_i,
|
636 |
|
|
------------------- Receive Ports - Clock Correction Ports -----------------
|
637 |
|
|
RXCLKCORCNT => rxclkcorcnt_out,
|
638 |
|
|
---------- Receive Ports - FPGA RX Interface Datapath Configuration --------
|
639 |
|
|
RX8B10BEN => tied_to_vcc_i,
|
640 |
|
|
------------------ Receive Ports - FPGA RX Interface Ports -----------------
|
641 |
|
|
RXUSRCLK => rxusrclk_in,
|
642 |
|
|
RXUSRCLK2 => rxusrclk2_in,
|
643 |
|
|
------------------ Receive Ports - FPGA RX interface Ports -----------------
|
644 |
|
|
RXDATA => rxdata_i,
|
645 |
|
|
------------------- Receive Ports - Pattern Checker Ports ------------------
|
646 |
|
|
RXPRBSERR => rxprbserr_out,
|
647 |
|
|
RXPRBSSEL => rxprbssel_in,
|
648 |
|
|
------------------- Receive Ports - Pattern Checker ports ------------------
|
649 |
|
|
RXPRBSCNTRESET => rxprbscntreset_in,
|
650 |
|
|
-------------------- Receive Ports - RX Equalizer Ports -------------------
|
651 |
|
|
RXDFEXYDEN => tied_to_vcc_i,
|
652 |
|
|
RXDFEXYDHOLD => tied_to_ground_i,
|
653 |
|
|
RXDFEXYDOVRDEN => tied_to_ground_i,
|
654 |
|
|
------------------ Receive Ports - RX 8B/10B Decoder Ports -----------------
|
655 |
|
|
RXDISPERR(7 downto 4) => rxdisperr_float_i,
|
656 |
|
|
RXDISPERR(3 downto 0) => rxdisperr_out,
|
657 |
|
|
RXNOTINTABLE(7 downto 4) => rxnotintable_float_i,
|
658 |
|
|
RXNOTINTABLE(3 downto 0) => rxnotintable_out,
|
659 |
|
|
--------------------------- Receive Ports - RX AFE -------------------------
|
660 |
|
|
GTXRXP => gtxrxp_in,
|
661 |
|
|
------------------------ Receive Ports - RX AFE Ports ----------------------
|
662 |
|
|
GTXRXN => gtxrxn_in,
|
663 |
|
|
------------------- Receive Ports - RX Buffer Bypass Ports -----------------
|
664 |
|
|
RXBUFRESET => rxbufreset_in,
|
665 |
|
|
RXBUFSTATUS => rxbufstatus_out,
|
666 |
|
|
RXDDIEN => tied_to_ground_i,
|
667 |
|
|
RXDLYBYPASS => tied_to_vcc_i,
|
668 |
|
|
RXDLYEN => tied_to_ground_i,
|
669 |
|
|
RXDLYOVRDEN => tied_to_ground_i,
|
670 |
|
|
RXDLYSRESET => tied_to_ground_i,
|
671 |
|
|
RXDLYSRESETDONE => open,
|
672 |
|
|
RXPHALIGN => tied_to_ground_i,
|
673 |
|
|
RXPHALIGNDONE => open,
|
674 |
|
|
RXPHALIGNEN => tied_to_ground_i,
|
675 |
|
|
RXPHDLYPD => tied_to_ground_i,
|
676 |
|
|
RXPHDLYRESET => tied_to_ground_i,
|
677 |
|
|
RXPHMONITOR => open,
|
678 |
|
|
RXPHOVRDEN => tied_to_ground_i,
|
679 |
|
|
RXPHSLIPMONITOR => open,
|
680 |
|
|
RXSTATUS => open,
|
681 |
|
|
-------------- Receive Ports - RX Byte and Word Alignment Ports ------------
|
682 |
|
|
RXBYTEISALIGNED => rxbyteisaligned_out,
|
683 |
|
|
RXBYTEREALIGN => rxbyterealign_out,
|
684 |
|
|
RXCOMMADET => rxcommadet_out,
|
685 |
|
|
RXCOMMADETEN => tied_to_vcc_i,
|
686 |
|
|
RXMCOMMAALIGNEN => rxmcommaalignen_in,
|
687 |
|
|
RXPCOMMAALIGNEN => rxpcommaalignen_in,
|
688 |
|
|
------------------ Receive Ports - RX Channel Bonding Ports ----------------
|
689 |
|
|
RXCHANBONDSEQ => rxchanbondseq_out,
|
690 |
|
|
RXCHBONDEN => rxchbonden_in,
|
691 |
|
|
RXCHBONDLEVEL => rxchbondlevel_in,
|
692 |
|
|
RXCHBONDMASTER => rxchbondmaster_in,
|
693 |
|
|
RXCHBONDO => rxchbondo_out,
|
694 |
|
|
RXCHBONDSLAVE => rxchbondslave_in,
|
695 |
|
|
----------------- Receive Ports - RX Channel Bonding Ports ----------------
|
696 |
|
|
RXCHANISALIGNED => rxchanisaligned_out,
|
697 |
|
|
RXCHANREALIGN => rxchanrealign_out,
|
698 |
|
|
-------------------- Receive Ports - RX Equailizer Ports -------------------
|
699 |
|
|
RXLPMHFHOLD => rxlpmhfhold_in,
|
700 |
|
|
RXLPMHFOVRDEN => tied_to_ground_i,
|
701 |
|
|
RXLPMLFHOLD => rxlpmlfhold_in,
|
702 |
|
|
--------------------- Receive Ports - RX Equalizer Ports -------------------
|
703 |
|
|
RXDFEAGCHOLD => tied_to_ground_i,
|
704 |
|
|
RXDFEAGCOVRDEN => tied_to_ground_i,
|
705 |
|
|
RXDFECM1EN => tied_to_ground_i,
|
706 |
|
|
RXDFELFHOLD => tied_to_ground_i,
|
707 |
|
|
RXDFELFOVRDEN => tied_to_ground_i,
|
708 |
|
|
RXDFELPMRESET => rxdfelpmreset_in,
|
709 |
|
|
RXDFETAP2HOLD => tied_to_ground_i,
|
710 |
|
|
RXDFETAP2OVRDEN => tied_to_ground_i,
|
711 |
|
|
RXDFETAP3HOLD => tied_to_ground_i,
|
712 |
|
|
RXDFETAP3OVRDEN => tied_to_ground_i,
|
713 |
|
|
RXDFETAP4HOLD => tied_to_ground_i,
|
714 |
|
|
RXDFETAP4OVRDEN => tied_to_ground_i,
|
715 |
|
|
RXDFETAP5HOLD => tied_to_ground_i,
|
716 |
|
|
RXDFETAP5OVRDEN => tied_to_ground_i,
|
717 |
|
|
RXDFEUTHOLD => tied_to_ground_i,
|
718 |
|
|
RXDFEUTOVRDEN => tied_to_ground_i,
|
719 |
|
|
RXDFEVPHOLD => tied_to_ground_i,
|
720 |
|
|
RXDFEVPOVRDEN => tied_to_ground_i,
|
721 |
|
|
RXDFEVSEN => tied_to_ground_i,
|
722 |
|
|
RXLPMLFKLOVRDEN => tied_to_ground_i,
|
723 |
|
|
RXMONITOROUT => rxmonitorout_out,
|
724 |
|
|
RXMONITORSEL => rxmonitorsel_in,
|
725 |
|
|
RXOSHOLD => tied_to_ground_i,
|
726 |
|
|
RXOSOVRDEN => tied_to_ground_i,
|
727 |
|
|
------------ Receive Ports - RX Fabric ClocK Output Control Ports ----------
|
728 |
|
|
RXRATEDONE => rxratedone_out,
|
729 |
|
|
--------------- Receive Ports - RX Fabric Output Control Ports -------------
|
730 |
|
|
RXOUTCLK => rxoutclk_out,
|
731 |
|
|
RXOUTCLKFABRIC => open,
|
732 |
|
|
RXOUTCLKPCS => open,
|
733 |
|
|
RXOUTCLKSEL => "010",
|
734 |
|
|
---------------------- Receive Ports - RX Gearbox Ports --------------------
|
735 |
|
|
RXDATAVALID => open,
|
736 |
|
|
RXHEADER => open,
|
737 |
|
|
RXHEADERVALID => open,
|
738 |
|
|
RXSTARTOFSEQ => open,
|
739 |
|
|
--------------------- Receive Ports - RX Gearbox Ports --------------------
|
740 |
|
|
RXGEARBOXSLIP => tied_to_ground_i,
|
741 |
|
|
------------- Receive Ports - RX Initialization and Reset Ports ------------
|
742 |
|
|
GTRXRESET => gtrxreset_in,
|
743 |
|
|
RXOOBRESET => tied_to_ground_i,
|
744 |
|
|
RXPCSRESET => rxpcsreset_in,
|
745 |
|
|
RXPMARESET => rxpmareset_in,
|
746 |
|
|
------------------ Receive Ports - RX Margin Analysis ports ----------------
|
747 |
|
|
RXLPMEN => rxlpmen_in,
|
748 |
|
|
------------------- Receive Ports - RX OOB Signaling ports -----------------
|
749 |
|
|
RXCOMSASDET => open,
|
750 |
|
|
RXCOMWAKEDET => open,
|
751 |
|
|
------------------ Receive Ports - RX OOB Signaling ports -----------------
|
752 |
|
|
RXCOMINITDET => open,
|
753 |
|
|
------------------ Receive Ports - RX OOB signalling Ports -----------------
|
754 |
|
|
RXELECIDLE => open,
|
755 |
|
|
RXELECIDLEMODE => "11",
|
756 |
|
|
----------------- Receive Ports - RX Polarity Control Ports ----------------
|
757 |
|
|
RXPOLARITY => rxpolarity_in,
|
758 |
|
|
---------------------- Receive Ports - RX gearbox ports --------------------
|
759 |
|
|
RXSLIDE => tied_to_ground_i,
|
760 |
|
|
------------------- Receive Ports - RX8B/10B Decoder Ports -----------------
|
761 |
|
|
RXCHARISCOMMA(7 downto 4) => rxchariscomma_float_i,
|
762 |
|
|
RXCHARISCOMMA(3 downto 0) => rxchariscomma_out,
|
763 |
|
|
RXCHARISK(7 downto 4) => rxcharisk_float_i,
|
764 |
|
|
RXCHARISK(3 downto 0) => rxcharisk_out,
|
765 |
|
|
------------------ Receive Ports - Rx Channel Bonding Ports ----------------
|
766 |
|
|
RXCHBONDI => rxchbondi_in,
|
767 |
|
|
-------------- Receive Ports -RX Initialization and Reset Ports ------------
|
768 |
|
|
RXRESETDONE => rxresetdone_out,
|
769 |
|
|
-------------------------------- Rx AFE Ports ------------------------------
|
770 |
|
|
RXQPIEN => tied_to_ground_i,
|
771 |
|
|
RXQPISENN => open,
|
772 |
|
|
RXQPISENP => open,
|
773 |
|
|
--------------------------- TX Buffer Bypass Ports -------------------------
|
774 |
|
|
TXPHDLYTSTCLK => tied_to_ground_i,
|
775 |
|
|
------------------------ TX Configurable Driver Ports ----------------------
|
776 |
|
|
TXPOSTCURSOR => txpostcursor_in,
|
777 |
|
|
TXPOSTCURSORINV => tied_to_ground_i,
|
778 |
|
|
TXPRECURSOR => txprecursor_in,
|
779 |
|
|
TXPRECURSORINV => tied_to_ground_i,
|
780 |
|
|
TXQPIBIASEN => tied_to_ground_i,
|
781 |
|
|
TXQPISTRONGPDOWN => tied_to_ground_i,
|
782 |
|
|
TXQPIWEAKPUP => tied_to_ground_i,
|
783 |
|
|
--------------------- TX Initialization and Reset Ports --------------------
|
784 |
|
|
CFGRESET => tied_to_ground_i,
|
785 |
|
|
GTTXRESET => gttxreset_in,
|
786 |
|
|
PCSRSVDOUT => open,
|
787 |
|
|
TXUSERRDY => txuserrdy_in,
|
788 |
|
|
---------------------- Transceiver Reset Mode Operation --------------------
|
789 |
|
|
GTRESETSEL => tied_to_ground_i,
|
790 |
|
|
RESETOVRD => tied_to_ground_i,
|
791 |
|
|
---------------- Transmit Ports - 8b10b Encoder Control Ports --------------
|
792 |
|
|
TXCHARDISPMODE => tied_to_ground_vec_i(7 downto 0),
|
793 |
|
|
TXCHARDISPVAL => tied_to_ground_vec_i(7 downto 0),
|
794 |
|
|
------------------ Transmit Ports - FPGA TX Interface Ports ----------------
|
795 |
|
|
TXUSRCLK => txusrclk_in,
|
796 |
|
|
TXUSRCLK2 => txusrclk2_in,
|
797 |
|
|
--------------------- Transmit Ports - PCI Express Ports -------------------
|
798 |
|
|
TXELECIDLE => txelecidle_in,
|
799 |
|
|
TXMARGIN => tied_to_ground_vec_i(2 downto 0),
|
800 |
|
|
TXRATE => tied_to_ground_vec_i(2 downto 0),
|
801 |
|
|
TXSWING => tied_to_ground_i,
|
802 |
|
|
------------------ Transmit Ports - Pattern Generator Ports ----------------
|
803 |
|
|
TXPRBSFORCEERR => txprbsforceerr_in,
|
804 |
|
|
------------------ Transmit Ports - TX Buffer Bypass Ports -----------------
|
805 |
|
|
TXDLYBYPASS => tied_to_ground_i,
|
806 |
|
|
TXDLYEN => txdlyen_in,
|
807 |
|
|
TXDLYHOLD => tied_to_ground_i,
|
808 |
|
|
TXDLYOVRDEN => tied_to_ground_i,
|
809 |
|
|
TXDLYSRESET => txdlysreset_in,
|
810 |
|
|
TXDLYSRESETDONE => txdlysresetdone_out,
|
811 |
|
|
TXDLYUPDOWN => tied_to_ground_i,
|
812 |
|
|
TXPHALIGN => txphalign_in,
|
813 |
|
|
TXPHALIGNDONE => txphaligndone_out,
|
814 |
|
|
TXPHALIGNEN => txphalignen_in,
|
815 |
|
|
TXPHDLYPD => tied_to_ground_i,
|
816 |
|
|
TXPHDLYRESET => txphdlyreset_in,
|
817 |
|
|
TXPHINIT => txphinit_in,
|
818 |
|
|
TXPHINITDONE => txphinitdone_out,
|
819 |
|
|
TXPHOVRDEN => tied_to_ground_i,
|
820 |
|
|
---------------------- Transmit Ports - TX Buffer Ports --------------------
|
821 |
|
|
TXBUFSTATUS => open,
|
822 |
|
|
--------------- Transmit Ports - TX Configurable Driver Ports --------------
|
823 |
|
|
TXBUFDIFFCTRL => "100",
|
824 |
|
|
TXDEEMPH => tied_to_ground_i,
|
825 |
|
|
TXDIFFCTRL => txdiffctrl_in,
|
826 |
|
|
TXDIFFPD => tied_to_ground_i,
|
827 |
|
|
TXINHIBIT => txinhibit_in,
|
828 |
|
|
TXMAINCURSOR => "0000000",
|
829 |
|
|
TXPISOPD => tied_to_ground_i,
|
830 |
|
|
------------------ Transmit Ports - TX Data Path interface -----------------
|
831 |
|
|
TXDATA => txdata_i,
|
832 |
|
|
---------------- Transmit Ports - TX Driver and OOB signaling --------------
|
833 |
|
|
GTXTXN => gtxtxn_out,
|
834 |
|
|
GTXTXP => gtxtxp_out,
|
835 |
|
|
----------- Transmit Ports - TX Fabric Clock Output Control Ports ----------
|
836 |
|
|
TXOUTCLK => txoutclk_out,
|
837 |
|
|
TXOUTCLKFABRIC => txoutclkfabric_out,
|
838 |
|
|
TXOUTCLKPCS => txoutclkpcs_out,
|
839 |
|
|
TXOUTCLKSEL => "011",
|
840 |
|
|
TXRATEDONE => open,
|
841 |
|
|
--------------------- Transmit Ports - TX Gearbox Ports --------------------
|
842 |
|
|
TXCHARISK(7 downto 4) => tied_to_ground_vec_i(3 downto 0),
|
843 |
|
|
TXCHARISK(3 downto 0) => txcharisk_in,
|
844 |
|
|
TXGEARBOXREADY => open,
|
845 |
|
|
TXHEADER => tied_to_ground_vec_i(2 downto 0),
|
846 |
|
|
TXSEQUENCE => tied_to_ground_vec_i(6 downto 0),
|
847 |
|
|
TXSTARTSEQ => tied_to_ground_i,
|
848 |
|
|
------------- Transmit Ports - TX Initialization and Reset Ports -----------
|
849 |
|
|
TXPCSRESET => txpcsreset_in,
|
850 |
|
|
TXPMARESET => txpmareset_in,
|
851 |
|
|
TXRESETDONE => txresetdone_out,
|
852 |
|
|
------------------ Transmit Ports - TX OOB signalling Ports ----------------
|
853 |
|
|
TXCOMFINISH => open,
|
854 |
|
|
TXCOMINIT => tied_to_ground_i,
|
855 |
|
|
TXCOMSAS => tied_to_ground_i,
|
856 |
|
|
TXCOMWAKE => tied_to_ground_i,
|
857 |
|
|
TXPDELECIDLEMODE => tied_to_ground_i,
|
858 |
|
|
----------------- Transmit Ports - TX Polarity Control Ports ---------------
|
859 |
|
|
TXPOLARITY => txpolarity_in,
|
860 |
|
|
--------------- Transmit Ports - TX Receiver Detection Ports --------------
|
861 |
|
|
TXDETECTRX => tied_to_ground_i,
|
862 |
|
|
------------------ Transmit Ports - TX8b/10b Encoder Ports -----------------
|
863 |
|
|
TX8B10BBYPASS => tied_to_ground_vec_i(7 downto 0),
|
864 |
|
|
------------------ Transmit Ports - pattern Generator Ports ----------------
|
865 |
|
|
TXPRBSSEL => txprbssel_in,
|
866 |
|
|
----------------------- Tx Configurable Driver Ports ----------------------
|
867 |
|
|
TXQPISENN => open,
|
868 |
|
|
TXQPISENP => open
|
869 |
|
|
);
|
870 |
|
|
|
871 |
|
|
end RTL;
|
872 |
|
|
|
873 |
|
|
|
874 |
|
|
|