OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [src/] [ip/] [rxaui_0/] [synth/] [rxaui_0_ooc.xdc] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 DFC
# This constraints file contains default clock frequencies to be used during creation of a
2
# Synthesis Design Checkpoint (DCP). For best results the frequencies should be modified
3
# to match the target frequencies.
4
# This constraints file is not used in top-down/global synthesis (not the default flow of Vivado).
5
create_clock -period 10.00 [get_ports dclk]
6
set_property HD.CLK_SRC BUFGCTRL_X0Y0 [get_ports dclk]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.