OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [src/] [ip/] [rxaui_0/] [synth/] [rxaui_0_tx_sync_sync_block.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 DFC
-------------------------------------------------------------------------------
2
--
3
--   ____  ____ 
4
--  /   /\/   / 
5
-- /___/  \  /    Vendor: Xilinx 
6
-- \   \   \/     Version : 3.5
7
--  \   \         Application : 7 Series FPGAs Transceivers Wizard 
8
--  /   /         Filename : rxaui_0_tx_sync_sync_block.vhd
9
-- /___/   /\     
10
-- \   \  /  \ 
11
--  \___\/\___\ 
12
--
13
-- Description: Used on signals crossing from one clock domain to
14
--              another, this is a flip-flop pair, with both flops
15
--              placed together with RLOCs into the same slice.  Thus
16
--              the routing delay between the two is minimum to safe-
17
--              guard against metastability issues.
18
--
19
--  Module  rxaui_0_tx_sync_sync_block
20
--  Generated by Xilinx 7 Series FPGAs Transceivers Wizard
21
-- 
22
-- (c) Copyright 2010-2012 Xilinx, Inc. All rights reserved.
23
-- 
24
-- This file contains confidential and proprietary information
25
-- of Xilinx, Inc. and is protected under U.S. and
26
-- international copyright and other intellectual property
27
-- laws.
28
-- 
29
-- DISCLAIMER
30
-- This disclaimer is not a license and does not grant any
31
-- rights to the materials distributed herewith. Except as
32
-- otherwise provided in a valid license issued to you by
33
-- Xilinx, and to the maximum extent permitted by applicable
34
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
35
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
36
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
37
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
38
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
39
-- (2) Xilinx shall not be liable (whether in contract or tort,
40
-- including negligence, or under any other theory of
41
-- liability) for any loss or damage of any kind or nature
42
-- related to, arising under or in connection with these
43
-- materials, including for any direct, or any indirect,
44
-- special, incidental, or consequential loss or damage
45
-- (including loss of data, profits, goodwill, or any type of
46
-- loss or damage suffered as a result of any action brought
47
-- by a third party) even if such damage or loss was
48
-- reasonably foreseeable or Xilinx had been advised of the
49
-- possibility of the same.
50
-- 
51
-- CRITICAL APPLICATIONS
52
-- Xilinx products are not designed or intended to be fail-
53
-- safe, or for use in any application requiring fail-safe
54
-- performance, such as life-support or safety devices or
55
-- systems, Class III medical devices, nuclear facilities,
56
-- applications related to the deployment of airbags, or any
57
-- other applications that could lead to death, personal
58
-- injury, or severe property or environmental damage
59
-- (individually and collectively, "Critical
60
-- Applications"). Customer assumes the sole risk and
61
-- liability of any use of Xilinx products in Critical
62
-- Applications, subject only to applicable laws and
63
-- regulations governing limitations on product liability.
64
-- 
65
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
66
-- PART OF THIS FILE AT ALL TIMES. 
67
 
68
 
69
 
70
 
71
 
72
library ieee;
73
use ieee.std_logic_1164.all;
74
 
75
library unisim;
76
use unisim.vcomponents.all;
77
 
78
entity rxaui_0_tx_sync_sync_block is
79
  generic (
80
    INITIALISE : bit_vector(5 downto 0) := "000000"
81
  );
82
  port (
83
    clk         : in  std_logic;          -- clock to be sync'ed to
84
    data_in     : in  std_logic;          -- Data to be 'synced'
85
    data_out    : out std_logic           -- synced data
86
    );
87
 
88
-- attribute dont_touch : string;
89
-- attribute dont_touch    of   gtwizard_0_sync_block : entity is "yes";
90
 
91
end rxaui_0_tx_sync_sync_block;
92
 
93
architecture structural of rxaui_0_tx_sync_sync_block is
94
 
95
 
96
  -- Internal Signals
97
  signal data_sync1 : std_logic;
98
  signal data_sync2 : std_logic;
99
  signal data_sync3 : std_logic;
100
  signal data_sync4 : std_logic;
101
  signal data_sync5 : std_logic;
102
 
103
  -- These attributes will stop timing errors being reported in back annotated
104
  -- SDF simulation.
105
  attribute ASYNC_REG                       : string;
106
  attribute ASYNC_REG of data_sync_reg1    : label is "true";
107
  attribute ASYNC_REG of data_sync_reg2    : label is "true";
108
  attribute ASYNC_REG of data_sync_reg3    : label is "true";
109
  attribute ASYNC_REG of data_sync_reg4    : label is "true";
110
  attribute ASYNC_REG of data_sync_reg5    : label is "true";
111
  attribute ASYNC_REG of data_sync_reg6    : label is "true";
112
 
113
  -- These attributes will stop XST translating the desired flip-flops into an
114
  -- SRL based shift register.
115
  attribute shreg_extract                   : string;
116
  attribute shreg_extract of data_sync_reg1 : label is "no";
117
  attribute shreg_extract of data_sync_reg2 : label is "no";
118
  attribute shreg_extract of data_sync_reg3 : label is "no";
119
  attribute shreg_extract of data_sync_reg4 : label is "no";
120
  attribute shreg_extract of data_sync_reg5 : label is "no";
121
  attribute shreg_extract of data_sync_reg6 : label is "no";
122
 
123
 
124
begin
125
 
126
  data_sync_reg1 : FD
127
  generic map (
128
    INIT => INITIALISE(0)
129
  )
130
  port map (
131
    C    => clk,
132
    D    => data_in,
133
    Q    => data_sync1
134
  );
135
 
136
 data_sync_reg2 : FD
137
  generic map (
138
    INIT => INITIALISE(1)
139
  )
140
  port map (
141
    C    => clk,
142
    D    => data_sync1,
143
    Q    => data_sync2
144
  );
145
 
146
 data_sync_reg3 : FD
147
  generic map (
148
    INIT => INITIALISE(2)
149
  )
150
  port map (
151
    C    => clk,
152
    D    => data_sync2,
153
    Q    => data_sync3
154
  );
155
 
156
 data_sync_reg4 : FD
157
  generic map (
158
    INIT => INITIALISE(3)
159
  )
160
  port map (
161
    C    => clk,
162
    D    => data_sync3,
163
    Q    => data_sync4
164
  );
165
 
166
 data_sync_reg5 : FD
167
  generic map (
168
    INIT => INITIALISE(4)
169
  )
170
  port map (
171
    C    => clk,
172
    D    => data_sync4,
173
    Q    => data_sync5
174
  );
175
 
176
  data_sync_reg6 : FD
177
  generic map (
178
    INIT => INITIALISE(5)
179
  )
180
  port map (
181
    C    => clk,
182
    D    => data_sync5,
183
    Q    => data_out
184
  );
185
 
186
 
187
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.