OpenCores
URL https://opencores.org/ocsvn/xgate/xgate/trunk

Subversion Repositories xgate

[/] [xgate/] [trunk/] [README.txt] - Blame information for rev 58

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rehayes
// 45678901234567890123456789012345678901234567890123456789012345678901234567890
2 14 rehayes
////////////////////////////////////////////////////////////////////////////////
3
////////////////////////////////////////////////////////////////////////////////
4
// SVN tag: None
5
 
6 58 rehayes
Feb 12,2010
7
RTL - Update to the WISHBONE interface when wait states are enabled to trade
8
   16 data flops for 5 address registers. This change now also requires single
9
   cycle timing on the WISHBONE address bus, multi-cycle timing is still
10
   allowed on the WISHBONE write data bus. In the old design WISHBONE read
11
   cycles required the address to be decoded and the read data to be latched
12
   in the first cycle and the there was a whole cycle to drive the read data
13
   bus. The new design latches the address in the first cycle then decodes the
14
   address and outputs the data in the second cycle. (The WISHBONE bus doesn't
15
   require the address or data to be latched for multi-cycle operation but by
16
   doing this it is hoped some power will be saved in the combinational logic
17
   by reducing the decoding activity at each address change.)
18
 
19
Testbench - No change.
20
 
21
Doc - No change.
22
 
23
////////////////////////////////////////////////////////////////////////////////
24
////////////////////////////////////////////////////////////////////////////////
25
// SVN tag: None
26
 
27 56 rehayes
Jan 27,2010
28
RTL - 85% done -- Fixed error in wbs_ack_o signal when Xgate wait states were
29
   enabled. If a slave bus transaction was started but not completed in the
30
   second cycle a wbs_ack_o output was still generated. Added a wbs_err_o output
31
   signal to flag this input condition but not sure if it is really needed.
32
  The old testbench was "helping" the Xgate module by sending an almost
33
   continuous wbm_ack_i signal which allowed the RISC state machine to advance
34
   when it shouldn't. Changes were made to the WISHBONE master bus interface
35
   and the RISC control logic.
36
 
37
Updates to testbench -- Extensive changes to testbench. The bus arbitration
38
   module has been completely rewritten. It now completely controls access to the
39
   system bus and RAM. It internally generates a WISHBONE ack signal for the RAM.
40
   The test control registers have been moved out of the top level and put into
41
   a new WISHBONE slave module which also attaches to the system bus. The Xgate
42
   modules master and slave buses are fully integrated with the bus arbitration
43
   module and the system bus. The new testbench looks a lot more like a real
44
   system environment.
45
  To Do: Add back "random" wait state generation for RAM access.
46
 
47
Updates to User Guide -- Minor corrections to instruction set details. Needs more
48
  review on condition code settings.
49
 
50
////////////////////////////////////////////////////////////////////////////////
51
////////////////////////////////////////////////////////////////////////////////
52
// SVN tag: None
53
 
54 51 rehayes
Jan 11,2010
55 56 rehayes
RTL - 85% done -- Fix error in Zero Flag calculation for ADC and SBC instructions
56 51 rehayes
  Fix Error in loading R2 durning cpu_state == BOOT_3.
57
  THere is a bug in DEBUG mode that is sensitive to number of preceding
58
   instructions and wait states that needs to be resolved.
59
 
60
Updates to testbench --
61
 
62
Updates to User Guide -- First pass with instruction set details. Needs more
63
  review on condition code settings.
64
 
65
////////////////////////////////////////////////////////////////////////////////
66
// SVN tag: None
67
 
68 44 rehayes
Dec 08,2009
69 41 rehayes
RTL - 85% done -- Updated code so there is only one program counter adder.
70
   Updated WISHBONE Slave bus for word addressability and byte selection.
71 44 rehayes
   Deleted two stack pointer registers.
72 41 rehayes
 
73
Updates to testbench --
74
 
75
Updates to User Guide -- Minor cleanup.
76
 
77
////////////////////////////////////////////////////////////////////////////////
78
////////////////////////////////////////////////////////////////////////////////
79
// SVN tag: None
80
 
81 38 rehayes
Nov 09,2009
82
RTL - 85% done - Minor changes to Mastermode bus.
83
 
84
Updates to testbench, Moved RAM.to submodule, Added bus arbitration module
85
   but this is not fully functional. Causes timing problems when master is
86 56 rehayes
   polling Xgate registers durning debug mode tests. Will probably change RAM
87 38 rehayes
   model to dual port in next revision.
88
   Updated master module to include WISHBONE select inputs.
89
 
90
Updates to User Guide.
91
 
92
////////////////////////////////////////////////////////////////////////////////
93
////////////////////////////////////////////////////////////////////////////////
94
// SVN tag: None
95
 
96 23 rehayes
Oct 07,2009
97
RTL - 85% done
98
All debug commands now working, including writes to XGCHID register.
99
 
100
Updates to testbench, added timeout and total error count.
101 41 rehayes
 
102
Updates to User Guide --.
103
 
104 23 rehayes
Created the sw directory and copied over the software stuff from the bench
105
directory.
106
 
107
////////////////////////////////////////////////////////////////////////////////
108
////////////////////////////////////////////////////////////////////////////////
109
// SVN tag: None
110
 
111 14 rehayes
Sept 23,2009
112
BRK instruction working. Single Step Command in debug mode working.
113
Software error interrupt added.
114
 
115
Updates to testbench.
116
New assembly code directory: debug_test
117
 
118
////////////////////////////////////////////////////////////////////////////////
119
////////////////////////////////////////////////////////////////////////////////
120
// SVN tag: None
121
 
122 5 rehayes
Sept 10,2009
123
Added WISHBONE master bus submodule and some related top level signals but still
124
  not much real functionality.
125
 
126
Added code to allow for memory access stalls.
127
 
128
Upgraded testbench to insert memory wait states. Added more error detection
129
  and summery.
130
 
131
Improved instruction decoder. Still needs more work to remove redundant adders
132
  to improve synthesis results.
133
 
134 14 rehayes
////////////////////////////////////////////////////////////////////////////////
135
////////////////////////////////////////////////////////////////////////////////
136
// SVN tag: None
137 5 rehayes
 
138 2 rehayes
Sept 1, 2009
139
This is a prerelease checkin and should be looked at as an incremental backup
140
and not representative of what may be in the final release.
141
 
142
RTL - 75% done
143
What works:
144
  Basic instruction set execution simulated and verified. Condition code
145
  operation on instructions partially verified.
146
 
147
  Basic WISHBONE slave bus operation used, full functionality not verified.
148
 
149
What's broken or unimplemented:
150
  All things related to debug mode.
151
  WISHBONE master bus interface.
152
 
153 5 rehayes
User Documentation - 30% done
154
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.