OpenCores
URL https://opencores.org/ocsvn/xge_mac/xge_mac/trunk

Subversion Repositories xge_mac

[/] [xge_mac/] [tags/] [initial/] [rtl/] [verilog/] [sync_clk_xgmii_tx.v] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 antanguay
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  File name "sync_clk_xgmii.v"                                ////
4
////                                                              ////
5
////  This file is part of the "10GE MAC" project                 ////
6
////  http://www.opencores.org/cores/xge_mac/                     ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - A. Tanguay (antanguay@opencores.org)                  ////
10
////                                                              ////
11
//////////////////////////////////////////////////////////////////////
12
////                                                              ////
13
//// Copyright (C) 2008 AUTHORS. All rights reserved.             ////
14
////                                                              ////
15
//// This source file may be used and distributed without         ////
16
//// restriction provided that this copyright statement is not    ////
17
//// removed from the file and that any derivative work contains  ////
18
//// the original copyright notice and the associated disclaimer. ////
19
////                                                              ////
20
//// This source file is free software; you can redistribute it   ////
21
//// and/or modify it under the terms of the GNU Lesser General   ////
22
//// Public License as published by the Free Software Foundation; ////
23
//// either version 2.1 of the License, or (at your option) any   ////
24
//// later version.                                               ////
25
////                                                              ////
26
//// This source is distributed in the hope that it will be       ////
27
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
28
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
29
//// PURPOSE.  See the GNU Lesser General Public License for more ////
30
//// details.                                                     ////
31
////                                                              ////
32
//// You should have received a copy of the GNU Lesser General    ////
33
//// Public License along with this source; if not, download it   ////
34
//// from http://www.opencores.org/lgpl.shtml                     ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
 
38
 
39
`include "defines.v"
40
 
41
module sync_clk_xgmii_tx(/*AUTOARG*/
42
  // Outputs
43
  ctrl_tx_enable_ctx, status_local_fault_ctx,
44
  status_remote_fault_ctx,
45
  // Inputs
46
  clk_xgmii_tx, reset_xgmii_tx_n, ctrl_tx_enable,
47
  status_local_fault_crx, status_remote_fault_crx
48
  );
49
 
50
input         clk_xgmii_tx;
51
input         reset_xgmii_tx_n;
52
 
53
input         ctrl_tx_enable;
54
 
55
input         status_local_fault_crx;
56
input         status_remote_fault_crx;
57
 
58
output        ctrl_tx_enable_ctx;
59
 
60
output        status_local_fault_ctx;
61
output        status_remote_fault_ctx;
62
 
63
/*AUTOREG*/
64
// Beginning of automatic regs (for this module's undeclared outputs)
65
// End of automatics
66
 
67
/*AUTOWIRE*/
68
// Beginning of automatic wires (for undeclared instantiated-module outputs)
69
// End of automatics
70
 
71
wire  [2:0]             sig_out;
72
 
73
assign {ctrl_tx_enable_ctx,
74
        status_local_fault_ctx,
75
        status_remote_fault_ctx} = sig_out;
76
 
77
meta_sync #(.DWIDTH (3)) meta_sync0 (
78
                      // Outputs
79
                      .out              (sig_out),
80
                      // Inputs
81
                      .clk              (clk_xgmii_tx),
82
                      .reset_n          (reset_xgmii_tx_n),
83
                      .in               ({
84
                                          ctrl_tx_enable,
85
                                          status_local_fault_crx,
86
                                          status_remote_fault_crx
87
                                         }));
88
 
89
endmodule
90
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.