OpenCores
URL https://opencores.org/ocsvn/xilinx_virtex_fp_library/xilinx_virtex_fp_library/trunk

Subversion Repositories xilinx_virtex_fp_library

[/] [xilinx_virtex_fp_library/] [trunk/] [DualPathFPAdder/] [ClosePath.v] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 constantin
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date:    00:32:10 11/19/2013 
7
// Design Name: 
8
// Module Name:    ClosePath 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: A ± B when |Ea-Eb| < 2
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21 9 constantin
module ClosePath        #(      parameter size_in_mantissa                      = 24, //1.M
22 8 constantin
                                                        parameter size_out_mantissa             = 24,
23
                                                        parameter size_exponent                         = 8,
24
                                                        parameter pipeline                                      = 0,
25
                                                        parameter pipeline_pos                          = 0,     // 8 bits
26 9 constantin
                                                        parameter size_counter                          = 5,    //log2(size_in_mantissa) + 1 = 5)
27
                                                        parameter double_size_in_mantissa   = size_in_mantissa + size_in_mantissa)
28 8 constantin
 
29 10 constantin
                                                (       input [size_in_mantissa - 1     : 0] m_a_number,
30
                                                        input [size_in_mantissa - 1 : 0] m_b_number,
31 9 constantin
                                                        input [size_exponent     : 0] exp_inter,
32 10 constantin
                                                        input exp_difference,
33 8 constantin
                                                        output[size_out_mantissa-1:0] resulted_m_o,
34 10 constantin
                                                        output[size_exponent - 1 : 0] resulted_e_o,
35
                                                        output ovf);
36 8 constantin
 
37 9 constantin
        wire [size_counter - 1 : 0] lzs;
38
        wire [size_exponent- 1 : 0] unadjusted_exponent;
39 10 constantin
        wire [2 : 0] dummy_bits;
40
        wire init_shft_bit, shft_bit;
41
 
42
        wire [size_in_mantissa-1: 0] shifted_m_b;
43
        wire [size_in_mantissa+1: 0] adder_mantissa;
44
        wire [size_in_mantissa  : 0] unnormalized_mantissa;
45
        wire [size_in_mantissa  : 0] rounded_mantissa;
46
        wire [size_in_mantissa-1: 0] r_mantissa;
47
 
48
        assign {shifted_m_b, init_shft_bit} = (exp_difference)? {1'b0, m_b_number[size_in_mantissa-1:1], m_b_number[0]} : {m_b_number, 1'b0};
49
 
50
        //compute unnormalized_mantissa
51
        assign adder_mantissa = {1'b0, m_a_number} - {1'b0, shifted_m_b};
52
 
53
        assign {unnormalized_mantissa, shft_bit} =
54
                                                                (adder_mantissa[size_in_mantissa + 1])? ({~adder_mantissa[size_in_mantissa : 0], ~init_shft_bit}) :
55
                                                                                                                                                ({adder_mantissa[size_in_mantissa       : 0], init_shft_bit});
56
 
57 8 constantin
        //compute leading_zeros over unnormalized mantissa
58 9 constantin
        leading_zeros #(        .SIZE_INT(size_in_mantissa + 1), .SIZE_COUNTER(size_counter), .PIPELINE(pipeline))
59
                leading_zeros_instance (.a(unnormalized_mantissa[size_in_mantissa : 0]),
60
                                                                                .ovf(unnormalized_mantissa[size_in_mantissa]),
61 8 constantin
                                                                                .lz(lzs));
62
 
63
        //compute shifting over unnormalized_mantissa
64 10 constantin
        shifter #(      .INPUT_SIZE(size_in_mantissa + 2),
65 9 constantin
                                        .SHIFT_SIZE(size_counter),
66 10 constantin
                                        .OUTPUT_SIZE(size_in_mantissa + 3),
67 8 constantin
                                        .DIRECTION(1'b1), //0=right, 1=left
68
                                        .PIPELINE(pipeline),
69
                                        .POSITION(pipeline_pos))
70 10 constantin
                shifter_instance(       .a({unnormalized_mantissa, shft_bit}),//mantissa
71
                                                                .arith(adder_mantissa[size_in_mantissa + 1]),//logical shift
72 8 constantin
                                                                .shft(lzs),
73 10 constantin
                                                                .shifted_a({r_mantissa, dummy_bits}));
74 8 constantin
 
75 10 constantin
        assign rounded_mantissa = (adder_mantissa[size_in_mantissa + 1])? r_mantissa + 1'b1 : r_mantissa;
76
        assign resulted_m_o = (rounded_mantissa[size_in_mantissa])? rounded_mantissa[size_in_mantissa : 1] :
77
                                                                                                                                rounded_mantissa[size_in_mantissa-1:0];
78
 
79
        assign ovf = adder_mantissa[size_in_mantissa+1];
80 9 constantin
        assign unadjusted_exponent = exp_inter - lzs;
81
        assign resulted_e_o =  unadjusted_exponent + 1'b1;
82 8 constantin
 
83
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.