OpenCores
URL https://opencores.org/ocsvn/xilinx_virtex_fp_library/xilinx_virtex_fp_library/trunk

Subversion Repositories xilinx_virtex_fp_library

[/] [xilinx_virtex_fp_library/] [trunk/] [DualPathFPAdderMappedConversions/] [DualPathAdderConversion.v] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 constantin
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date:    00:31:28 12/19/2013 
7
// Design Name: 
8
// Module Name:    DualPathAdderConversion
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: A ± B with mapped conversions 
13
//
14
// Dependencies:  
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
module DualPathAdderConversion #(       parameter size_mantissa                         = 24, //1.M
22
                                                                        parameter size_exponent                         = 8,
23
                                                                        parameter size_exception_field          = 2,
24
                                                                        parameter size_counter                          = 5,//log2(size_mantissa) + 1 = 5)
25
                                                                        parameter [size_exception_field - 1 : 0] zero                    = 0, //00
26
                                                                        parameter [size_exception_field - 1 : 0] normal_number   = 1, //01
27
                                                                        parameter [size_exception_field - 1 : 0] infinity                = 2, //10
28
                                                                        parameter [size_exception_field - 1 : 0] NaN                     = 3, //11
29
                                                                        parameter size_integer                  = 32,
30
                                                                        parameter counter_integer               = 6,//log2(size_integer) + 1 = 6)
31
                                                                        parameter [1 : 0] FP_operation   = 0, //00 
32
                                                                        parameter [1 : 0] FP_to_int              = 1, //01 - mapped on FarPath
33
                                                                        parameter [1 : 0] int_to_FP              = 2, //10 - mapped on ClosePath
34
 
35
                                                                        parameter pipeline                                      = 0,
36
                                                                        parameter pipeline_pos                          = 0,     // 8 bits
37
                                                                        parameter size                                          = size_mantissa + size_exponent + size_exception_field
38
                                                                        )
39
                                                                        (       input [1:0] conversion,
40
                                                                                input sub,
41
                                                                                input [size - 1 : 0] a_number_i,
42
                                                                                input [size - 1 : 0] b_number_i,
43
                                                                                output[size - 1 : 0] resulted_number_o);
44
 
45
        parameter double_size_mantissa  = size_mantissa + size_mantissa;
46
        parameter double_size_counter   = size_counter + 1;
47
        parameter max_size                              = (size_integer > size_mantissa)? size_integer : size_mantissa;
48
        parameter max_counter                   = (counter_integer > size_counter)? counter_integer : size_counter;
49
        parameter size_diff_i_m                 = (size_integer > size_mantissa)? (size_integer - size_mantissa) : (size_mantissa - size_integer);
50
        parameter bias                                  = {1'b0,{(size_exponent-1){1'b1}}};
51
        parameter exp_biasedCP                  = bias + size_mantissa;
52
        parameter exponentCP                    = exp_biasedCP - 1'b1;
53
 
54
        wire [size_exception_field - 1 : 0] sp_case_a_number, sp_case_b_number;
55
        wire [size_mantissa - 1 : 0] m_a_number, m_b_number;
56
        wire [size_exponent - 1 : 0] e_a_number, e_b_number;
57
        wire s_a_number, s_b_number;
58
 
59
        wire [size_exponent     : 0] a_greater_exponent, b_greater_exponent;
60
 
61
        wire [size_exponent - 1 : 0] exp_difference;
62
        wire [size_exponent     : 0] exp_inter;
63
        wire eff_op;
64
 
65 17 constantin
        wire [size_exception_field - 1 : 0] sp_case_o, resulted_exception_field;
66 11 constantin
        wire resulted_sign;
67
        wire swap;
68
 
69
        wire zero_flag;
70
 
71
        wire [max_size - 1 : 0] max_entityFP;
72
        wire [size_exponent - 1 : 0] resulted_e_oFP;
73
        wire [size_exponent - 1 : 0] adjust_mantissaFP;
74
        wire [size_exponent - 1 : 0] unadjusted_exponentFP;
75
        wire [size_mantissa - 1 : 0] mantissa_to_shiftFP, shifted_m_bFP, convert_neg_mantissaFP;
76
        wire [size_mantissa + 1 : 0] adder_mantissaFP;
77
        wire [size_mantissa - 1 : 0] resulted_inter_m_oFP, resulted_m_oFP;
78
        wire [size_mantissa - 1 : 0] initial_rounding_bitsFP, inter_rounding_bitsFP;
79
        wire [double_size_mantissa:0] normalized_mantissaFP;
80
        wire [size_mantissa  : 0] unnormalized_mantissaFP, conversion_dummiesFP;
81
        wire [size_exponent     : 0] shift_value_when_positive_exponentFP, shift_value_when_negative_exponentFP;
82
        wire [size_exponent - 1 : 0] shift_valueFP, shft_valFP;
83
        wire [size_exponent     : 0] exponentFP;
84
        wire dummy_bitFP;
85
 
86
        wire [max_size - 1 : 0] max_entityCP;
87
        wire [size_mantissa - 1 : 0] shifted_m_bCP;
88
        wire [size_mantissa + 1 : 0] adder_mantissaCP;
89
        wire [size_mantissa     : 0] unnormalized_mantissaCP;
90
        wire [size_mantissa     : 0] rounded_mantissaCP;
91
        wire [size_mantissa - 1 : 0] r_mantissaCP;
92
        wire [size_exponent - 1 : 0] resulted_e_oCP;
93
        wire [size_mantissa - 1 : 0] resulted_m_oCP;
94
        wire [size_exponent - 1 : 0] unadjusted_exponentCP, adjust_exponentCP;
95
        wire [size_exponent - 1 : 0] exp_selectionCP;
96
        wire [max_size - size_mantissa : 0] dummy_bitsCP;
97
        wire [max_counter - 1 : 0] lzsCP;
98
        wire init_shft_bitCP, shft_bitCP;
99
        wire lsb_shft_bitCP;
100
 
101
        wire do_conversion;
102
 
103
        assign do_conversion = |conversion; //let me know if there is a conversion
104
 
105
        assign e_a_number       = a_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];    //exponent for a_number_i
106
        assign e_b_number = b_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];          //exponent for b_number_i
107
        assign s_a_number = a_number_i[size - size_exception_field - 1];                                                        //sign for a_number_i
108
        assign s_b_number = b_number_i[size - size_exception_field - 1];                                                        //sign for b_number_i
109
        assign sp_case_a_number = a_number_i[size - 1 : size - size_exception_field];                           //special_case for a_number_i
110
        assign sp_case_b_number = b_number_i[size - 1 : size - size_exception_field];                           //special_case for b_number_i
111
 
112
        //find the greater exponent
113
        assign a_greater_exponent = e_a_number - e_b_number;
114
        assign b_greater_exponent = e_b_number - e_a_number;
115
 
116
        //find the difference between exponents
117
        assign exp_difference   = (a_greater_exponent[size_exponent])? b_greater_exponent[size_exponent - 1 : 0] : a_greater_exponent[size_exponent - 1 : 0];
118
        assign exp_inter                = (b_greater_exponent[size_exponent])? {1'b0, e_a_number} : {1'b0, e_b_number};
119
 
120
        //set shifter always on m_b_number
121
        assign {swap, m_a_number, m_b_number} = do_conversion? {1'b0,{e_a_number[0], a_number_i[size_mantissa - 2 :0]}, {1'b1, b_number_i[size_mantissa - 2 :0]}} :
122
                                                                                (b_greater_exponent[size_exponent])?
123
                                                                                                        {1'b0, {1'b1, a_number_i[size_mantissa - 2 :0]}, {1'b1, b_number_i[size_mantissa - 2 :0]}} :
124
                                                                                                        {1'b1, {1'b1, b_number_i[size_mantissa - 2 :0]}, {1'b1, a_number_i[size_mantissa - 2 :0]}};
125
 
126
        effective_op effective_op_instance( .a_sign(s_a_number), .b_sign(s_b_number), .sub(sub), .eff_op(eff_op));
127
 
128
 
129
        //------------------------------------------------------- start ClosePath addition and conversion
130
        assign {shifted_m_bCP, init_shft_bit} = (exp_difference)? {1'b0, m_b_number[size_mantissa-1:0]} : {m_b_number, 1'b0};
131
 
132
        //compute unnormalized_mantissa
133
        assign adder_mantissaCP = {1'b0, m_a_number} - shifted_m_bCP;
134
        assign {unnormalized_mantissaCP, shft_bitCP} =
135
                                                                (adder_mantissaCP[size_mantissa + 1])?  ({~adder_mantissaCP[size_mantissa       : 0], ~init_shft_bitCP}) :
136
                                                                                                                                                ({adder_mantissaCP[size_mantissa        : 0], init_shft_bitCP});
137
 
138
        assign max_entityCP = do_conversion? (s_a_number? (~a_number_i[max_size-1 : 0]) : a_number_i[max_size-1 : 0]) :
139
                                                                                                        {{(max_size-size_mantissa-1){1'b0}}, unnormalized_mantissaCP[size_mantissa : 0]};
140
        assign lsb_shft_bitCP = (do_conversion)? s_a_number : max_entityCP[0];
141
 
142
        assign max_ovfCP = do_conversion? 1'b0 : unnormalized_mantissaCP[size_mantissa];
143
 
144
        //compute leading_zeros over unnormalized mantissa
145
        leading_zeros #(.SIZE_INT(max_size), .SIZE_COUNTER(max_counter), .PIPELINE(pipeline))
146
                leading_zeros_CP_instance (     .a(max_entityCP),
147
                                                                        .ovf(max_ovfCP),
148
                                                                        .lz(lzsCP));
149
 
150
        //compute shifting over unnormalized_mantissa
151
        shifter #(      .INPUT_SIZE(max_size),
152
                                .SHIFT_SIZE(max_counter),
153
                                .OUTPUT_SIZE(max_size + 1),
154
                                .DIRECTION(1'b1), //0=right, 1=left
155
                                .PIPELINE(pipeline),
156
                                .POSITION(pipeline_pos))
157
                shifter_CP_instance(    .a(max_entityCP),//mantissa
158
                                                                .arith(lsb_shft_bitCP),
159
                                                                .shft(lzsCP),
160
                                                                .shifted_a({r_mantissaCP, dummy_bitsCP}));
161
 
162
        assign rounded_mantissaCP = (r_mantissaCP[0] && dummy_bitsCP[max_size - size_mantissa] && (|dummy_bitsCP[max_size - size_mantissa - 1 : 0 ]))?
163
                                                                                r_mantissaCP + 1'b1 : r_mantissaCP;
164
        assign resulted_m_oCP = (rounded_mantissaCP[size_mantissa])? rounded_mantissaCP[size_mantissa : 1] :
165
                                                                                                                                rounded_mantissaCP[size_mantissa-1:0];
166
 
167
        assign ovfCP = do_conversion? s_a_number : adder_mantissaCP[size_mantissa+1];
168
 
169
        assign exp_selectionCP = do_conversion? exponentCP : exp_inter;
170
        assign adjust_exponentCP = exp_selectionCP - lzsCP;
171
        assign unadjusted_exponentCP = adjust_exponentCP + size_diff_i_m;
172
 
173
        assign resulted_e_oCP = (do_conversion & ~(|max_entityCP))? bias : unadjusted_exponentCP + rounded_mantissaCP[size_mantissa];
174
        //------------------------------------------------------- end ClosePath addition and conversion
175
 
176
 
177
        //--------------------------------------------- start FarPath addition and conversion
178
        assign exponentFP = e_a_number - bias;
179
        assign shift_value_when_positive_exponentFP = max_size - 2'd2  - exponentFP[size_exponent-1 : 0];
180
        assign shift_value_when_negative_exponentFP = max_size + (~exponentFP[size_exponent-1 : 0]);
181
        assign shift_valueFP = (exponentFP[size_exponent])? shift_value_when_negative_exponentFP[size_exponent - 1 : 0] :
182
                             (shift_value_when_positive_exponentFP[size_exponent])? (~shift_value_when_positive_exponentFP[size_exponent - 1 : 0]):
183
                                                                                   shift_value_when_positive_exponentFP[size_exponent - 1 : 0];
184
        assign shft_valFP = do_conversion? shift_valueFP : exp_difference;
185
 
186
        assign convert_neg_mantissaFP = {1'b0, ~a_number_i[size_mantissa-2 : 0]};
187
        assign conversion_dummiesFP = {(size_mantissa+1){1'b1}};
188
 
189
        assign mantissa_to_shiftFP = do_conversion? (s_a_number? convert_neg_mantissaFP + 1'b1 : {1'b1, a_number_i[size_mantissa-2 : 0]}) : m_b_number;
190
        assign arith_shiftFP = do_conversion? s_a_number : 1'b0;
191
 
192
        //shift m_b_number                              
193
        shifter #(      .INPUT_SIZE(size_mantissa),
194
                                .SHIFT_SIZE(size_exponent),
195
                                .OUTPUT_SIZE(double_size_mantissa),
196
                                .DIRECTION(1'b0), //0=right, 1=left
197
                                .PIPELINE(pipeline),
198
                                .POSITION(pipeline_pos))
199
                m_b_shifter_FP_instance(        .a(mantissa_to_shiftFP),
200
                                                                        .arith(arith_shiftFP),
201
                                                                        .shft(shft_valFP),
202
                                                                        .shifted_a({shifted_m_bFP, initial_rounding_bitsFP}));
203
 
204
        assign max_entityFP = {s_a_number, shifted_m_bFP[size_mantissa-1 : 0], initial_rounding_bitsFP[size_mantissa-1 : size_mantissa - size_diff_i_m + 1]};
205
 
206
        //compute unnormalized_mantissa
207
        assign adder_mantissaFP = (eff_op)? ({1'b0, m_a_number} - {1'b0, shifted_m_bFP}) : ({1'b0, m_a_number} + {1'b0, shifted_m_bFP});
208
 
209
        assign {unnormalized_mantissaFP, inter_rounding_bitsFP} =
210
                                                                (adder_mantissaFP[size_mantissa + 1])?  ({~adder_mantissaFP[size_mantissa : 0], ~initial_rounding_bitsFP}) :
211
                                                                                                                                                ({adder_mantissaFP[size_mantissa        : 0], initial_rounding_bitsFP});
212
 
213
        assign adjust_mantissaFP = unnormalized_mantissaFP[size_mantissa]? 2'd0 :
214
                                                                                unnormalized_mantissaFP[size_mantissa-1]? 2'd1 : 2'd2;
215
 
216
        //compute shifting over unnormalized_mantissa
217
        shifter #(      .INPUT_SIZE(double_size_mantissa+1),
218
                                .SHIFT_SIZE(size_exponent),
219
                                .OUTPUT_SIZE(double_size_mantissa+2),
220
                                .DIRECTION(1'b1),
221
                                .PIPELINE(pipeline),
222
                                .POSITION(pipeline_pos))
223
                unnormalized_no_shifter_FP_instance(.a({unnormalized_mantissaFP, inter_rounding_bitsFP}),
224
                                                                                        .arith(1'b0),
225
                                                                                        .shft(adjust_mantissaFP),
226
                                                                                        .shifted_a({normalized_mantissaFP, dummy_bitFP}));
227
 
228
        //instantiate rounding_component
229
        rounding #(     .SIZE_MOST_S_MANTISSA(size_mantissa),
230
                                .SIZE_LEAST_S_MANTISSA(size_mantissa + 2'd1))
231
                rounding_FP_instance(   .unrounded_mantissa(normalized_mantissaFP[double_size_mantissa : double_size_mantissa - size_mantissa + 1]),
232
                                                                .dummy_bits(normalized_mantissaFP[double_size_mantissa - size_mantissa: 0]),
233
                                                                .rounded_mantissa(resulted_inter_m_oFP));
234
 
235
        assign resulted_m_oFP = do_conversion? max_entityFP[size_mantissa-1 : 0] : resulted_inter_m_oFP;
236
        assign unadjusted_exponentFP = exp_inter - adjust_mantissaFP;
237
        assign resulted_e_oFP = do_conversion? max_entityFP[size_mantissa+size_exponent-2 : size_mantissa-1] : unadjusted_exponentFP + 1'b1;
238
        //-------------------------------------------------------- end FarPath addition and conversion
239
 
240
 
241
        //compute exception_field
242
        special_cases   #(      .size_exception_field(size_exception_field),
243
                                                .zero(zero),
244
                                                .normal_number(normal_number),
245
                                                .infinity(infinity),
246
                                                .NaN(NaN))
247
                special_cases_instance( .sp_case_a_number(sp_case_a_number),
248 17 constantin
                                                                .sp_case_b_number(sp_case_b_number),
249
                                                                .sp_case_result_o(sp_case_o));
250
 
251
        assign resulted_exception_field = do_conversion? sp_case_a_number : sp_case_o;
252
 
253 11 constantin
        //set zero_flag in case of equal numbers
254 17 constantin
        assign zero_flag = ((exp_difference > 1 | !eff_op) & conversion != int_to_FP)?
255
                                                        ~((|{resulted_m_oFP, sp_case_o[1]}) & (|sp_case_o)) :
256
                                                        ~((|{resulted_m_oCP, sp_case_o[1]}) & (|sp_case_o));
257 11 constantin
 
258
        assign resulted_sign = do_conversion?   s_a_number :
259
                                                                                        ((exp_difference > 1 | !eff_op)?        (!a_greater_exponent[size_exponent]? s_a_number : (eff_op? ~s_b_number : s_b_number)) :
260
                                                                                                                                                                (ovfCP ^ swap));
261
 
262
        assign resulted_number_o = (zero_flag)? {size{1'b0}} : ((exp_difference > 1 | !eff_op) & conversion != int_to_FP)?
263
                                                                                                        {resulted_exception_field, resulted_sign, resulted_e_oFP, resulted_m_oFP[size_mantissa-2 : 0]}:
264
                                                                                                        {resulted_exception_field, resulted_sign, resulted_e_oCP, resulted_m_oCP[size_mantissa-2 : 0]};
265
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.