OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [ipcore_dir/] [fifo_generator_v9_3/] [example_design/] [fifo_generator_v9_3_exdes.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--------------------------------------------------------------------------------
2
--
3
-- FIFO Generator Core - core top file for implementation
4
--
5
--------------------------------------------------------------------------------
6
--
7
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
8
-- 
9
-- This file contains confidential and proprietary information
10
-- of Xilinx, Inc. and is protected under U.S. and
11
-- international copyright and other intellectual property
12
-- laws.
13
-- 
14
-- DISCLAIMER
15
-- This disclaimer is not a license and does not grant any
16
-- rights to the materials distributed herewith. Except as
17
-- otherwise provided in a valid license issued to you by
18
-- Xilinx, and to the maximum extent permitted by applicable
19
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24
-- (2) Xilinx shall not be liable (whether in contract or tort,
25
-- including negligence, or under any other theory of
26
-- liability) for any loss or damage of any kind or nature
27
-- related to, arising under or in connection with these
28
-- materials, including for any direct, or any indirect,
29
-- special, incidental, or consequential loss or damage
30
-- (including loss of data, profits, goodwill, or any type of
31
-- loss or damage suffered as a result of any action brought
32
-- by a third party) even if such damage or loss was
33
-- reasonably foreseeable or Xilinx had been advised of the
34
-- possibility of the same.
35
-- 
36
-- CRITICAL APPLICATIONS
37
-- Xilinx products are not designed or intended to be fail-
38
-- safe, or for use in any application requiring fail-safe
39
-- performance, such as life-support or safety devices or
40
-- systems, Class III medical devices, nuclear facilities,
41
-- applications related to the deployment of airbags, or any
42
-- other applications that could lead to death, personal
43
-- injury, or severe property or environmental damage
44
-- (individually and collectively, "Critical
45
-- Applications"). Customer assumes the sole risk and
46
-- liability of any use of Xilinx products in Critical
47
-- Applications, subject only to applicable laws and
48
-- regulations governing limitations on product liability.
49
-- 
50
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51
-- PART OF THIS FILE AT ALL TIMES.
52
--------------------------------------------------------------------------------
53
--
54
-- Filename: fifo_generator_v9_3_exdes.vhd
55
--
56
-- Description:
57
--   This is the FIFO core wrapper with BUFG instances for clock connections.
58
--
59
--------------------------------------------------------------------------------
60
-- Library Declarations
61
--------------------------------------------------------------------------------
62
 
63
library ieee;
64
use ieee.std_logic_1164.all;
65
use ieee.std_logic_arith.all;
66
use ieee.std_logic_unsigned.all;
67
 
68
library unisim;
69
use unisim.vcomponents.all;
70
 
71
--------------------------------------------------------------------------------
72
-- Entity Declaration
73
--------------------------------------------------------------------------------
74
entity fifo_generator_v9_3_exdes is
75
   PORT (
76
           CLK                       : IN  std_logic;
77
           RST                       : IN  std_logic;
78
           WR_EN                     : IN  std_logic;
79
           RD_EN                     : IN  std_logic;
80
           DIN                       : IN  std_logic_vector(32-1 DOWNTO 0);
81
           DOUT                      : OUT std_logic_vector(32-1 DOWNTO 0);
82
           FULL                      : OUT std_logic;
83
           EMPTY                     : OUT std_logic);
84
 
85
end fifo_generator_v9_3_exdes;
86
 
87
 
88
 
89
architecture xilinx of fifo_generator_v9_3_exdes is
90
 
91
  signal clk_i    : std_logic;
92
 
93
 
94
 
95
  component fifo_generator_v9_3 is
96
   PORT (
97
           CLK                       : IN  std_logic;
98
           RST                       : IN  std_logic;
99
           WR_EN                     : IN  std_logic;
100
           RD_EN                     : IN  std_logic;
101
           DIN                       : IN  std_logic_vector(32-1 DOWNTO 0);
102
           DOUT                      : OUT std_logic_vector(32-1 DOWNTO 0);
103
           FULL                      : OUT std_logic;
104
           EMPTY                     : OUT std_logic);
105
 
106
  end component;
107
 
108
 
109
begin
110
  clk_buf: bufg
111
    PORT map(
112
      i => CLK,
113
      o => clk_i
114
      );
115
 
116
 
117
 
118
  exdes_inst : fifo_generator_v9_3
119
    PORT MAP (
120
           CLK                       => clk_i,
121
           RST                       => rst,
122
           WR_EN                     => wr_en,
123
           RD_EN                     => rd_en,
124
           DIN                       => din,
125
           DOUT                      => dout,
126
           FULL                      => full,
127
           EMPTY                     => empty);
128
 
129
end xilinx;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.