OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [decode4_16_inv.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
-------------------------------------
18
--  ENTITY       = DECODE4_16_INV  --
19
--  version      = 3.0             --
20
--  last update  = 10/09/99        --
21
--  author       = Jose Nunez      --
22
-------------------------------------
23
 
24
 
25
-- FUNCTION
26
-- 4 to 16 match location decoder (active high outputs)
27
 
28
-- PIN LIST
29
-- MATCH_LOC_IN  = input match location (binary)
30
-- MATCH_LOC_OUT = output match location (active high outputs)
31
 
32
 
33
library ieee,dzx;
34
use ieee.std_logic_1164.all;
35
use dzx.bit_arith.all;
36
use dzx.attributes.all;
37
 
38
entity DECODE4_16_inv is
39
port
40
(
41
      MATCH_LOC_IN : in bit_vector(3 downto 0) ;
42
      MATCH_LOC_OUT : out bit_vector(15 downto 0)
43
);
44
 
45
end DECODE4_16_inv;
46
 
47
architecture DEC1 of DECODE4_16_inv is
48
begin
49
 
50
DECODE : process(MATCH_LOC_IN)
51
 
52
begin
53
case MATCH_LOC_IN is
54
    when "0000" => MATCH_LOC_OUT <= x"0001";
55
    when "0001" => MATCH_LOC_OUT <= x"0002";
56
    when "0010" => MATCH_LOC_OUT <= x"0004";
57
    when "0011" => MATCH_LOC_OUT <= x"0008";
58
    when "0100" => MATCH_LOC_OUT <= x"0010";
59
    when "0101" => MATCH_LOC_OUT <= x"0020";
60
    when "0110" => MATCH_LOC_OUT <= x"0040";
61
    when "0111" => MATCH_LOC_OUT <= x"0080";
62
    when "1000" => MATCH_LOC_OUT <= x"0100";
63
    when "1001" => MATCH_LOC_OUT <= x"0200";
64
    when "1010" => MATCH_LOC_OUT <= x"0400";
65
    when "1011" => MATCH_LOC_OUT <= x"0800";
66
    when "1100" => MATCH_LOC_OUT <= x"1000";
67
    when "1101" => MATCH_LOC_OUT <= x"2000";
68
    when "1110" => MATCH_LOC_OUT <= x"4000";
69
    when "1111" => MATCH_LOC_OUT <= x"8000";
70
end case;
71
end process DECODE;
72
end DEC1;  -- end of architecture
73
 
74
 
75
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.