OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [mux_ram.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
---------------------------------
18
--  ENTITY       = MC_MUX_3      --
19
--  version      = 4.0         --
20
--  last update  = 11/02/98    --
21
--  author       = Jose Nunez  --
22
---------------------------------
23
 
24
 
25
-- FUNCTION
26
-- Movement control multiplexor.
27
-- Selects either a compressed (A inputs) or decompressed
28
-- (B inputs) match location and full hit indicator and
29
-- makes them available on the Y outputs under the control
30
-- of SELB. If SELB=0 then B inputs are selected.
31
 
32
--  PIN LIST
33
-- A   = match location for compression
34
-- B   = match location for decompression
35
-- SELB = select 
36
-- ENABLEC = enable compression
37
-- ENABLED = enable decompression
38
-- Y   = match location output
39
 
40
library ieee,dzx;
41
use ieee.std_logic_1164.all;
42
use dzx.attributes.all;
43
 
44
entity MUX_RAM is
45
         port (
46
                        RAM_DATA : in std_logic_vector(31 downto 0);
47
                        RAM_MASK : in std_logic_vector(3 downto 0);
48
                        REG_DATA : in std_logic_vector(31 downto 0);
49
                        REG_MASK : in std_logic_vector(3 downto 0);
50
                        EQUAL : in bit;
51
                        ASSEM_DATA : out std_logic_vector(31 downto 0);
52
                        ASSEM_MASK : out std_logic_vector(3 downto 0)
53
 
54
          );
55
 
56
end MUX_RAM;
57
 
58
architecture MULTIPLEX_3 of MUX_RAM is
59
 
60
begin
61
 
62
MUX : process (RAM_DATA , RAM_MASK, REG_MASK, REG_DATA , EQUAL )
63
begin
64
        if (EQUAL = '1') then
65
                                    ASSEM_DATA <= REG_DATA;
66
                                        ASSEM_MASK <= REG_MASK;
67
        else
68
                            ASSEM_DATA <= RAM_DATA;
69
                            ASSEM_MASK <= RAM_MASK;
70
     end if;
71
 
72
end process MUX;
73
 
74
 
75
end MULTIPLEX_3;
76
 
77
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.