OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [oda_register.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
---------------------------------
18
--  ENTITY       = ODA_REGISTER--
19
--  version      = 1.0         --
20
--  last update  = 19/09/99    --
21
--  author       = Jose Nunez  --
22
---------------------------------
23
 
24
 
25
-- FUNCTION
26
-- register that creates the out of order adaptation mechanism
27
 
28
-- PIN MAP
29
 
30
-- MOVE_IN : current move vector
31
-- CONTROL : decides if the vector is loaded directly or one position down
32
-- CLK : clock signal
33
-- RESET : reset signal
34
-- MOVE_OUT : previous move vector
35
 
36
library IEEE;
37
use IEEE.std_logic_1164.all;
38
 
39
entity ODA_REGISTER is
40
port    (
41
                        MOVE_IN : in bit_vector(15 downto 0);
42
                        MASK_IN : in bit;
43
                        CONTROL : in bit_vector(14 downto 0);
44
                        ENABLE : in bit;
45
                        CLK : in bit;
46
                        CLEAR : in bit;
47
                        RESET : in bit;
48
                        MASK_OUT : out bit;
49
                        MOVE_OUT : out bit_vector(15 downto 0)
50
                );
51
end ODA_REGISTER;
52
 
53
architecture STRUCTURAL of ODA_REGISTER is
54
 
55
component ODA_CELL_2
56
port    (
57
                        PREVIOUS : in bit;
58
                        CONTROL : in bit;
59
                        ACTUAL : in bit;
60
                        CLK : in bit;
61
                        CLEAR : in bit;
62
                        RESET : in bit;
63
                        MOVE_OUT : out bit
64
                );
65
end component;
66
 
67
signal zero : bit;
68
signal MOVE_OUT_AUX : bit_vector(15 downto 0);
69
signal MOVE_IN_AUX : bit_vector(15 downto 0);
70
signal CONTROL_AUX : bit_vector (14 downto 0);
71
signal MASK_OUT_AUX : bit;
72
 
73
begin
74
 
75
zero <='0';
76
 
77
PRI_DEC : for I in 15 downto 0 generate
78
        FIRST : if (I=15) generate
79
        CELL_1 : ODA_CELL_2
80
                port map( PREVIOUS => zero,
81
                                  CONTROL => CONTROL_AUX(0),
82
                          ACTUAL => MOVE_IN_AUX(15),
83
                                  CLK => CLK,
84
                                  CLEAR => CLEAR,
85
                                  RESET => RESET,
86
                                  MOVE_OUT => MOVE_OUT_AUX(15)
87
                                  );
88
         end generate;
89
         REMAINING : if (I<15) generate
90
         REST : ODA_CELL_2
91
                port map( PREVIOUS => MOVE_IN(I+1),
92
                                  CONTROL => CONTROL_AUX(14-I),
93
                          ACTUAL => MOVE_IN_AUX(I),
94
                                  CLK => CLK,
95
                                  CLEAR => CLEAR,
96
                                  RESET => RESET,
97
                                  MOVE_OUT => MOVE_OUT_AUX(I)
98
                                  );
99
         end generate;
100
end generate;
101
 
102
 
103
MASK_FF : process (CLK,CLEAR)
104
begin
105
 
106
if (CLEAR = '0') then
107
        MASK_OUT_AUX <= '1';
108
elsif ((CLK'event) and (CLK = '1')) then
109
        if (RESET = '0') then
110
                MASK_OUT_AUX <= '1';
111
        elsif (ENABLE = '0') then
112
                MASK_OUT_AUX <= MASK_IN;
113
        else
114
                MASK_OUT_AUX <= MASK_OUT_AUX;
115
        end if;
116
end if;
117
 
118
end process MASK_FF;
119
 
120
CONTROL_AUX <= CONTROL when ENABLE = '0' else "000000000000000";
121
MOVE_IN_AUX <= MOVE_IN when ENABLE = '0' else MOVE_OUT_AUX;
122
MOVE_OUT <= MOVE_OUT_AUX;
123
MASK_OUT <= MASK_OUT_AUX;
124
 
125
 
126
end STRUCTURAL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.