OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [src/] [out_register.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
---------------------------------
18
--  ENTITY       = OUT_REGISTER--
19
--  version      = 1.0         --
20
--  last update  = 21/08/00    --
21
--  author       = Jose Nunez  --
22
---------------------------------
23
 
24
 
25
-- FUNCTION
26
-- out register
27
 
28
 
29
--  PIN LIST
30
--  DIN   = 32 bit input data
31
 
32
--  U_DATA_VALID_IN = the data is valid in the bus in 
33
--  CLEAR = asynchronous clear of register
34
--  CLK   = clock
35
--  DOUT  = 32 bit output of flip-flops
36
 
37
--  U_DATA_VALID_OUT = the data is valid in the bus out
38
 
39
 
40
library ieee,dzx;
41
use ieee.std_logic_1164.all;
42
use dzx.attributes.all;
43
 
44
entity OUT_REGISTER is
45
port
46
(
47
      DIN : in bit_vector(31 downto 0) ;
48
      U_DATA_VALID_IN : in bit;
49
          FINISHED_IN : in bit;
50
      CLEAR : in bit ;
51
        RESET : in bit;
52
      CLK : in bit ;
53
         U_DATA_VALID_OUT : out bit;
54
         FINISHED_OUT : out bit;
55
      QOUT : out bit_vector(31 downto 0)
56
);
57
 
58
 
59
end OUT_REGISTER;
60
 
61
 
62
 
63
architecture LATCH of OUT_REGISTER is
64
begin
65
 
66
--FLIP_FLOPS : process (CLK,CLEAR)
67
--begin
68
        -- asynchronous RESET signal forces all outputs LOW
69
--        if (CLEAR = '0') then
70
--          QOUT <= x"00000000";
71
--          U_DATA_VALID_OUT <= '1';
72
--              FINISHED_OUT <= '1';
73
            -- check for +ve clock edge
74
--        elsif ((CLK'event) and (CLK = '1')) then
75
                      -- if (RESET = '0') then
76
                          --        QOUT <= x"00000000";
77
                  --            U_DATA_VALID_OUT <= '1';
78
            -- check for synchronous clear signal
79
 
80
        --          elsif( FINISH = '0' or DECOMP = '1' ) then
81
 
82
        --               QOUT <= x"00000000";
83
 
84
        --                U_DATA_VALID_OUT <= '1';
85
 
86
        --          else
87
--                                      FINISHED_OUT <= FINISHED_IN;
88
--                      QOUT <= DIN;
89
--                      U_DATA_VALID_OUT <= U_DATA_VALID_IN;
90
        --          end if;
91
 
92
--      end if;
93
--end process FLIP_FLOPS;
94
 
95
FINISHED_OUT <= FINISHED_IN;
96
QOUT <= DIN;
97
U_DATA_VALID_OUT <= U_DATA_VALID_IN;
98
 
99
 
100
end LATCH;
101
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.