OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [test_file/] [CONFIRM_OUTPUT_COMPRESSED_1KB_BLOCKSIZE.txt] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
00100000000000000000100000000000
2
10100100011011010010110011001101
3
11010000011001000110010101100110
4
10101101100011010010110111001110
5
10010100001101000010100111001101
6
11010010101100001011101000110100
7
10110001111101011000110110100001
8
10000101110010111010101001001100
9
01101110011010111111010100100101
10
10010001011011010111011110000001
11
11101000011010000101010001001000
12
00001000001010010001000100100010
13
01001011001001001001110101010110
14
01100110100101100101011011001010
15
11001000111001100101110011000111
16
00010010110001110110100000011000
17
10010111000110111101001100010011
18
10010011100100110100101001011110
19
01100000011000100101111100010011
20
00000011011010100110000001101010
21
01110100011001010100110110001110
22
10001100110011011111101011001110
23
11001010110111101100110100101100
24
11010000010001010111100001110000
25
10100100100001000100011101100001
26
10110100001010001000110110010101
27
10111010101100100011010010110011
28
00000110110100001010000011010000
29
10100010111100000001101001010100
30
01000001001001010101010010010001
31
00110001101111011001111001001110
32
10101011001100110100101100101011
33
01100101011001000111001100101110
34
01100011100010010110001110110001
35
10000001001000011011010101001001
36
10010101110110011010011010111001
37
10110100101101111011011101110000
38
00110001001011100011011111101001
39
10001001110010011100100110100101
40
00101111001100000011000100101111
41
10001001100000011011011101001100
42
00001101010011101000110010101001
43
10110001110100011001100110111100
44
10010000010101100111011001010110
45
11110110011001011000011001100101
46
11100000100011101100101011101001
47
00000111001001101001011001001000
48
10110111101100110100000110000101
49
10110001101100101011100100110010
50
10110011001100101000000010011011
51
10011000111000101100101011100111
52
00010111010001101111101010100110
53
11110010111001101110100100010110
54
01010110110110010101011010101110
55
01101110100011100100110100110001
56
01101110011001111010111001001101
57
11101110101011101001010110100101
58
10111001100101011100111001001011
59
00100000110011001101111011100100
60
00000011010111000001110100101011
61
00001011000100110100101101100101
62
01101001011101000111100100001101
63
10010000101010010010101010100101
64
00001110011011010010110011110101
65
10100000101001001011100000110100
66
01111000000101010000011010000101
67
00010011010101001001100101011101
68
10011010011010111001101101001011
69
01111011011101110000001100010010
70
11100011011011101001100010011100
71
10011100100110100101001011110011
72
00000011000100101111100010011000
73
00011010111101001100100011000000
74
11101000110001101001100110011101
75
00011010000110011100100100000101
76
01100111011001010110111101100110
77
01011000011001100101111000001000
78
00101100100011001001000001110100
79
01101000011001011010110110101100
80
00101111000011001101110101110000
81
01100001011100100110000110101101
82
10101100101011101000110010100110
83
00001110010000000000010101000111
84
11010101001001100101011101100110
85
10011010111001101101001011011110
86
11011101110000001100010010111000
87
11010111101001100010011100100111
88
00100110100101001011110011000000
89
11000100101111100010011000000110
90
10011101001100000011001000111010
91
00110100101001100000011101000110
92
01000110001100100100000101011001
93
11011001010110111101100110010110
94
00011001100101111010100110101100
95
00101101011011001011110000011101
96
00011010000110010110101101001011
97
01110011000110111001010101100101
98
01101101011001010110111010001011
99
10100011100111010111001101100101
100
01110100011101001010110000101100
101
01001101100011001011110100101000
102
01100110011010010110010110101101
103
10001100100010111110110110000110
104
00100110010101011111001110100010
105
00001000001100001011011100110010
106
01010110011001101001011001010110
107
11001010110010001011111011001100
108
11010011010110010101101100011001
109
00010111110010001000101001100000
110
01011100000110100001010100100101
111
01000110001100010000010110010010
112
10111001101110101011100000111000
113
01000001101111011100100111010010
114
00001100110011011110111001010000
115
01110100011010000110010110101000
116
11001001100010001000101111110101
117
00111001001111010100110100100010
118
10101001001001001010011100100101
119
11110101100110011011000110000101
120
10011101010100000000001010100101
121
11101010100100110010101110110011
122
01001101011100110110100101101111
123
01101110111000000110001001011100
124
01101001110100110001001110010011
125
10010011001110100101111001100000
126
01110010010111110001001100100011
127
01111110100110001001101110011101
128
00011010010100111000001110100011
129
00000011001010010010000010101100
130
11101100101011011110110011001011
131
00001100110010111100000100011001
132
10100101111000101011100110110111
133
10110110101100101111010110110001
134
10100101101110011101001100010001
135
10101100011011100001010110110001
136
10000101101001011011101000101110
137
10001110011100000110000101101110
138
01100100001011111010111000001100
139
00101110010011001011010110111001
140
11010001101000011001011010111001
141
10110100101111010011000011010111
142
01000110100101101111011011101110
143
10110010101110010011100100110111
144
11010111001001110011001011100000
145
11011001000010100111100000101010
146
01111110101010010011001010111011
147
00110100100110000011100111110000
148
00110001001011100011001111101001
149
10001001110010011100100110011101
150
00101111001100000011100100101111
151
10001001100000011100111101001100
152
00001100010011101000110001101001
153
10001001110100011000100110001100
154
10010000010101100111011001010110
155
11110110011001011000011001100101
156
11100000100000101100100011001001
157
00101100001101011100100110010101
158
11010001110101100010111001001101
159
11010101110110011000010110110001
160
11010110010110010110001011101000
161
11011111010110011001101001011001
162
01011011001010110010001110111011
163
10010011010011000001110100011001
164
01001011101101010110101110011011
165
10101011100000111000010000011011
166
11011100100111010010000011001100
167
11011110111001010101100010011000
168
01011000110110101110101110001011
169
10101011011110111010010001011001
170
01011100110011000110001100001011
171
01110001000100000110110010000101
172
01001001010101010111010101101110
173
01110011011101000101000110001110
174
01001101001100010110010101100100
175
01001110101110011011011000110000
176
10111001110101101000011001010111
177
00110010111010001000011010000101
178
01000000101010000011010000101001
179
00011010101001001100101011101100
180
11010011010111001101101001011011
181
11011011101110000001100010010111
182
00011001011101001100010011100100
183
11100100110011101001011110011000
184
00011100000101111100010011001000
185
11011011101001100000011000000111
186
01000110000101001100100011101000
187
11010100110000100100100000101011
188
00111011001010110111101100110010
189
11000011001100101111000001000110
190
01101001011110001001011000011010
191
11100110111010001110101011100001
192
00010110100101100100101011011100
193
11101010110110001101100101001011
194
01011100000110111101101001101011
195
01110011101000110010101110010111
196
01011000100111010101100111000011
197
01100100001010010100000010101001
198
01011010101001001100101011101100
199
11010011010111001101101001011011
200
11011011101110000001100010010111
201
00011000111101001100010011100100
202
11100100110011101001011110011000
203
00011100000101111100010011001000
204
11010111101001100100011000100111
205
01000110011101001100100011101000
206
11000000110101100100100000101011
207
00111011001010110111101100110010
208
11000011001100101111010100100101
209
10111001101001011101001000001101
210
00101100001011011000110100001110
211
01001101110001000011010000101000
212
11000000101010101001010100010111
213
10000110100001010000100010001000
214
11011010011010110111001100011011
215
01100011101011000101100100011001
216
01101001111000111001101110100011
217
00100101011010010110111100101110
218
01101000001100011010011111000100
219
01110101101001011011100110001101
220
10110010000011101010110010001100
221
10101011001100001000100110001110
222
10110011001101001011001110010111
223
00101000110001101000001000101010
224
01000110110100101101110011000111
225
01011011000111010101100100011001
226
01111010010001001100110011010010
227
11001011010110110001100100011100
228
11001011100010010111100111101100
229
11010101100101011011000110010001
230
01111110010111010000010001100001
231
01010000010010001001001110010100
232
00011001010110000101100100000000
233
00000000000000000000000000000000
234
10100100011011010010110011001101
235
11010000011001000110010101100110
236
10101101100011010010110111001110
237
10010100001101000010100111001101
238
11010010101100001011101000110100
239
10110001111101011000110110100001
240
10000101110010111010101001001100
241
01101110011010111111010100100101
242
10010001011011010111011110000001
243
11101000011010000101010001001000
244
00001000001010010001000100100010
245
01001011001001001001110101010110
246
01100110100101100101011011001010
247
11001000111001100101110011000111
248
00010010110001110110100000011000
249
10010111000110111101001100010011
250
10010011100100110100101001011110
251
01100000011000100101111100010011
252
00000011011010100110000001101010
253
01110100011001010100110110001110
254
10001100110011011111101011001110
255
11001010110111101100110100101100
256
11010000010001010111100001110000
257
10100100100001000100011101100001
258
10110100001010001000110110010101
259
10111010101100100011010010110011
260
00000110110100001010000011010000
261
10100010111100000001101001010100
262
01000001001001010101010010010001
263
00110001101111011001111001001110
264
10101011001100110100101100101011
265
01100101011001000111001100101110
266
01100011100010010110001110110001
267
10000001001000011011010101001001
268
10010101110110011010011010111001
269
10110100101101111011011101110000
270
00110001001011100011011111101001
271
10001001110010011100100110100101
272
00101111001100000011000100101111
273
10001001100000011011011101001100
274
00001101010011101000110010101001
275
10110001110100011001100110111100
276
10010000010101100111011001010110
277
11110110011001011000011001100101
278
11100000100011101100101011101001
279
00000111001001101001011001001000
280
10110111101100110100000110000101
281
10110001101100101011100100110010
282
10110011001100101000000010011011
283
10011000111000101100101011100111
284
00010111010001101111101010100110
285
11110010111001101110100100010110
286
01010110110110010101011010101110
287
01101110100011100100110100110001
288
01101110011001111010111001001101
289
11101110101011101001010110100101
290
10111001100101011100111001001011
291
00100000110011001101111011100100
292
00000011010111000001110100101011
293
00001011000100110100101101100101
294
01101001011101000111100100001101
295
10010000101010010010101010100101
296
00001110011011010010110011110101
297
10100000101001001011100000110100
298
01111000000101010000011010000101
299
00010011010101001001100101011101
300
10011010011010111001101101001011
301
01111011011101110000001100010010
302
11100011011011101001100010011100
303
10011100100110100101001011110011
304
00000011000100101111100010011000
305
00011010111101001100100011000000
306
11101000110001101001100110011101
307
00011010000110011100100100000101
308
01100111011001010110111101100110
309
01011000011001100101111000001000
310
00101100100011001001000001110100
311
01101000011001011010110110101100
312
00101111000011001101110101110000
313
01100001011100100110000110101101
314
10101100101011101000110010100110
315
00001110010000000000010101000111
316
11010101001001100101011101100110
317
10011010111001101101001011011110
318
11011101110000001100010010111000
319
11010111101001100010011100100111
320
00100110100101001011110011000000
321
11000100101111100010011000000110
322
10011101001100000011001000111010
323
00110100101001100000011101000110
324
01000110001100100100000101011001
325
11011001010110111101100110010110
326
00011001100101111010100110101100
327
00101101011011001011110000011101
328
00011010000110010110101101001011
329
01110011000110111001010101100101
330
01101101011001010110111010001011
331
10100011100111010111001101100101
332
01110100011101001010110000101100
333
01001101100011001011110100101000
334
01100110011010010110010110101101
335
10001100100010111110110110000110
336
00100110010101011111001110100010
337
00001000001100001011011100110010
338
01010110011001101001011001010110
339
11001010110010001011111011001100
340
11010011010110010101101100011001
341
00010111110010001000101001100000
342
01011100000110100001010100100101
343
01000110001100010000010110010010
344
10111001101110101011100000111000
345
01000001101111011100100111010010
346
00001100110011011110111001010000
347
01110100011010000110010110101000
348
11001001100010001000101111110101
349
00111001001111010100110100100010
350
10101001001001001010011100100101
351
11110101100110011011000110000101
352
10011101010100000000001010100101
353
11101010100100110010101110110011
354
01001101011100110110100101101111
355
01101110111000000110001001011100
356
01101001110100110001001110010011
357
10010011001110100101111001100000
358
01110010010111110001001100100011
359
01111110100110001001101110011101
360
00011010010100111000001110100011
361
00000011001010010010000010101100
362
11101100101011011110110011001011
363
00001100110010111100000100011001
364
10100101111000101011100110110111
365
10110110101100101111010110110001
366
10100101101110011101001100010001
367
10101100011011100001010110110001
368
10000101101001011011101000101110
369
10001110011100000110000101101110
370
01100100001011111010111000001100
371
00101110010011001011010110111001
372
11010001101000011001011010111001
373
10110100101111010011000011010111
374
01000110100101101111011011101110
375
10110010101110010011100100110111
376
11010111001001110011001011100000
377
11011001000010100111100000101010
378
01111110101010010011001010111011
379
00110100100110000011100111110000
380
00110001001011100011001111101001
381
10001001110010011100100110011101
382
00101111001100000011100100101111
383
10001001100000011100111101001100
384
00001100010011101000110001101001
385
10001001110100011000100110001100
386
10010000010101100111011001010110
387
11110110011001011000011001100101
388
11100000100000101100100011001001
389
00101100001101011100100110010101
390
11010001110101100010111001001101
391
11010101110110011000010110110001
392
11010110010110010110001011101000
393
11011111010110011001101001011001
394
01011011001010110010001110111011
395
10010011010011000001110100011001
396
01001011101101010110101110011011
397
10101011100000111000010000011011
398
11011100100111010010000011001100
399
11011110111001010101100010011000
400
01011000110110101110101110001011
401
10101011011110111010010001011001
402
01011100110011000110001100001011
403
01110001000100000110110010000101
404
01001001010101010111010101101110
405
01110011011101000101000110001110
406
01001101001100010110010101100100
407
01001110101110011011011000110000
408
10111001110101101000011001010111
409
00110010111010001000011010000101
410
01000000101010000011010000101001
411
00011010101001001100101011101100
412
11010011010111001101101001011011
413
11011011101110000001100010010111
414
00011001011101001100010011100100
415
11100100110011101001011110011000
416
00011100000101111100010011001000
417
11011011101001100000011000000111
418
01000110000101001100100011101000
419
11010100110000100100100000101011
420
00111011001010110111101100110010
421
11000011001100101111000001000110
422
01101001011110001001011000011010
423
11100110111010001110101011100001
424
00010110100101100100101011011100
425
11101010110110001101100101001011
426
01011100000110111101101001101011
427
01110011101000110010101110010111
428
01011000100111010101100111000011
429
01100100001010010100000010101001
430
01011010101001001100101011101100
431
11010011010111001101101001011011
432
11011011101110000001100010010111
433
00011000111101001100010011100100
434
11100100110011101001011110011000
435
00011100000101111100010011001000
436
11010111101001100100011000100111
437
01000110011101001100100011101000
438
11000000110101100100100000101011
439
00111011001010110111101100110010
440
11000011001100101111010100100101
441
10111001101001011101001000001101
442
00101100001011011000110100001110
443
01001101110001000011010000101000
444
11000000101010101001010100010111
445
10000110100001010000100010001000
446
11011010011010110111001100011011
447
01100011101011000101100100011001
448
01101001111000111001101110100011
449
00100101011010010110111100101110
450
01101000001100011010011111000100
451
01110101101001011011100110001101
452
10110010000011101010110010001100
453
10101011001100001000100110001110
454
10110011001101001011001110010111
455
00101000110001101000001000101010
456
01000110110100101101110011000111
457
01011011000111010101100100011001
458
01111010010001001100110011010010
459
11001011010110110001100100011100
460
11001011100010010111100111101100
461
11010101100101011011000110010001
462
01111110010111010000010001100001
463
01010000010010001001001110010100
464
00011001010110000101100100000000
465
00000000000000000000000000000000

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.