OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [test_file/] [file_compressed_256_ori_xmatch.txt] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
10100100011011010010110011001101 1
2
11010000011001000110010101100110 1
3
10101101100011010010110111001110 1
4
10010100001101000010100111001101 1
5
11010010101100001011101000110100 1
6
10110001111101011000110110100001 1
7
10000101110010111010101001001100 1
8
01101110011010111111010100100101 1
9
10010001011011010111011110000001 1
10
11101000011010000101010001001000 1
11
00001000001010010001000100100010 1
12
01001011001001001001110101010110 1
13
01100110100101100101011011001010 1
14
11001000111001100101110011000111 1
15
00010010110001110110100000011000 1
16
10010111000110111101001100010011 1
17
10010011100100110100101001011110 1
18
01100000011000100101111100010011 1
19
00000011011010100110000001101010 1
20
01110100011001010100110110001110 1
21
10001100110011011111101011001110 1
22
11001010110111101100110100101100 1
23
11010000010001010111100001110000 1
24
10100100100001000100011101100001 1
25
10110100001010001000110110010101 1
26
10111010101100100011010010110011 1
27
00000110110100001010000011010000 1
28
10100010111100000001101001010100 1
29
01000001001001010101010010010001 1
30
00110001101111011001111001001110 1
31
10101011001100110100101100101011 1
32
01100101011001000111001100101110 1
33
01100011100010010110001110110001 1
34
10000001001000011011010101001001 1
35
10010101110110011010011010111001 1
36
10110100101101111011011101110000 1
37
00110001001011100011011111101001 1
38
10001001110010011100100110100101 1
39
00101111001100000011000100101111 1
40
10001001100000011011011101001100 1
41
00001101010011101000110010101001 1
42
10110001110100011001100110111100 1
43
10010000010101100111011001010110 1
44
11110110011001011000011001100101 1
45
11100000100011101100101011101001 1
46
00000111001001101001011001001000 1
47
10110111101100110100000110000101 1
48
10110001101100101011100100110010 1
49
10110011001100101000000010011011 1
50
10011000111000101100101011100111 1
51
00010111010001101111101010100110 1
52
11110010111001101110100100010110 1
53
01010110110110010101011010101110 1
54
01101110100011100100110100110001 1
55
01101110011001111010111001001101 1
56
11101110101011101001010110100101 1
57
10111001100101011100111001001011 1
58
00100000110011001101111011100100 1
59
00000011010111000001110100101011 1
60
00001011000100110100101101100101 1
61
01101001011101000111100100001101 1
62
10010000101010010010101010100101 1
63
00001110011011010010110011110101 1
64
10100000101001001011100000110100 1
65
01111000000101010000011010000101 1
66
00010011010101001001100101011101 1
67
10011010011010111001101101001011 1
68
01111011011101110000001100010010 1
69
11100011011011101001100010011100 1
70
10011100100110100101001011110011 1
71
00000011000100101111100010011000 1
72
00011010111101001100100011000000 1
73
11101000110001101001100110011101 1
74
00011010000110011100100100000101 1
75
01100111011001010110111101100110 1
76
01011000011001100101111000001000 1
77
00101100100011001001000001110100 1
78
01101000011001011010110110101100 1
79
00101111000011001101110101110000 1
80
01100001011100100110000110101101 1
81
10101100101011101000110010100110 1
82
00001110010000000000010101000111 1
83
11010101001001100101011101100110 1
84
10011010111001101101001011011110 1
85
11011101110000001100010010111000 1
86
11010111101001100010011100100111 1
87
00100110100101001011110011000000 1
88
11000100101111100010011000000110 1
89
10011101001100000011001000111010 1
90
00110100101001100000011101000110 1
91
01000110001100100100000101011001 1
92
11011001010110111101100110010110 1
93
00011001100101111010100110101100 1
94
00101101011011001011110000011101 1
95
00011010000110010110101101001011 1
96
01110011000110111001010101100101 1
97
01101101011001010110111010001011 1
98
10100011100111010111001101100101 1
99
01110100011101001010110000101100 1
100
01001101100011001011110100101000 1
101
01100110011010010110010110101101 1
102
10001100100010111110110110000110 1
103
00100110010101011111001110100010 1
104
00001000001100001011011100110010 1
105
01010110011001101001011001010110 1
106
11001010110010001011111011001100 1
107
11010011010110010101101100011001 1
108
00010111110010001000101001100000 1
109
01011100000110100001010100100101 1
110
01000110001100010000010110010010 1
111
10111001101110101011100000111000 1
112
01000001101111011100100111010010 1
113
00001100110011011110111001010000 1
114
01110100011010000110010110101000 1
115
11001001100010001000101111110101 1
116
00111001001111010100110100100010 1
117
10101001001001001010011100100101 1
118
11110101100110011011000110000101 1
119
10011101010100000000001010100101 1
120
11111010101001001100101011101100 1
121
11010011010111001101101001011011 1
122
11011011101110000001100010010111 1
123
00011001111101001100010011100100 1
124
11100100110011101001011110011000 1
125
00011100100101111100010011000000 1
126
11100111101001100000011000100111 1
127
01000110001101001100010011101000 1
128
11000100110001100100100000101011 1
129
00111011001010110111101100110010 1
130
11000011001100101111000001000001 1
131
01100100011001001001011000011010 1
132
11100100110010101110100011101011 1
133
00010111001001101110101011101100 1
134
11000010110110001110101100101100 1
135
10110001011101000110111110101100 1
136
11001101001011001010110110010101 1
137
10010001110111011100100110100110 1
138
00001110100011001010010111011010 1
139
10110101110011011101010111000001 1
140
11000010000011011110111001001110 1
141
10010000011001100110111101110010 1
142
10101100010011000010110001101101 1
143
01110101110001011101010110111101 1
144
11010010001011001010111001100110 1
145
00110001100001011011100010001000 1
146
00110110010000101010010010101010 1
147
10111010101101110011100110111010 1
148
00101000110001110010011010011000 1
149
10110010101100100010011101011100 1
150
11011011000110000101110011101011 1
151
01000011001010111001100101110100 1
152
01000011010000101010000001010100 1
153
00011010000101001000110101010010 1
154
01100101011101100110100110101110 1
155
01101101001011011110110111011100 1
156
00001100010010111000110010111010 1
157
01100010011100100111001001100111 1
158
01001011110011000000111000001011 1
159
11100010011001000110110111010011 1
160
00000011000000111010001100001010 1
161
01100100011101000110101001100001 1
162
00100100000101011001110110010101 1
163
10111101100110010110000110011001 1
164
01111000001000110011010010111100 1
165
01001011000011010111001101110100 1
166
01110101011100001000101101001011 1
167
00100101011011100111010101101100 1
168
01101100101001011010111000001101 1
169
11101101001101011011100111010001 1
170
10010101110010111010110001001110 1
171
10101100111000011011001000010100 1
172
10100000010101001010110101010010 1
173
01100101011101100110100110101110 1
174
01101101001011011110110111011100 1
175
00001100010010111000110001111010 1
176
01100010011100100111001001100111 1
177
01001011110011000000111000001011 1
178
11100010011001000110101111010011 1
179
00100011000100111010001100111010 1
180
01100100011101000110000001101011 1
181
00100100000101011001110110010101 1
182
10111101100110010110000110011001 1
183
01111010100100101101110011010010 1
184
11101001000001101001011000010110 1
185
11000110100001110010011011100010 1
186
00011010000101000110000001010101 1
187
01001010100010111100001101000010 1
188
10000100010001000110110100110101 1
189
10111001100011011011000111010110 1
190
00101100100011001011010011110001 1
191
11001101110100011001001010110100 1
192
10110111100101110011010000011000 1
193
11010011111000100011101011010010 1
194
11011100110001101101100100000111 1
195
01010110010001100101010110011000 1
196
01000100110001110101100110011010 1
197
01011001110010111001010001100011 1
198
01000001000101010010001101101001 1
199
01101110011000111010110110001110 1
200
10101100100011001011110100100010 1
201
01100110011010010110010110101101 1
202
10001100100011100110010111000100 1
203
10111100111101100110101011001010 1
204
11011000110010001011111100101110 1
205
10000010001100001010100000100100 1
206
01001001110010100000110010101100 1
207
00101100100101000000000000000000 1
208
00000000000000000000110001101100 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.