OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [test_file/] [output_compressed_AX.txt] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
10000000000000000000100000000000 1
2
00000100000000000000000011101100 1
3
10101101010011011110111001001010 1
4
00011101011101000110001101100101 1
5
01100101101011101000111010101000 1
6
11101110010100000110010101100010 1
7
01101110101011100110010011101100 1
8
11101100011100000110100101101100 1
9
01000001001000100110010101100101 1
10
10101110110011001000100000101110 1
11
01010101110101011101000110111001 1
12
10100111101011100110110010101101 1
13
11101010111111010110111001110010 1
14
01100101011001001010110111001100 1
15
10001101110011000011000001101100 1
16
01111001011000101010010110001110 1
17
11101100101010011001110010100001 1
18
11010111001101101001011011110111 1
19
00101010111001001100001000010100 1
20
00011011010110110001101100011010 1
21
00010101001000000001010000011010 1
22
11001010001100100110101101101111 1
23
10001011011110100001010001011100 1
24
11011010011110000011100100110111 1
25
10110011010000011001010110100001 1
26
11010010101100101011100110111010 1
27
10110000111101011001100110111101 1
28
10111001101111011100011000111100 1
29
10110111011101011001010110010101 1
30
10100001110111100010111100101100 1
31
00110101100101011100100110111101 1
32
10111011101011101000111001101101 1
33
11101100011111000101101110011000 1
34
01100000111010001101001011101111 1
35
01011001000000101000001101011010 1
36
00101011101000110111101101101011 1
37
01100100010110000101101110001010 1
38
01001100101011100101110101101111 1
39
01101001011100100111010010101110 1
40
01101101111011010010111010010001 1
41
01100011011101111010111001101101 1
42
11001110011011101001010110000101 1
43
10100001100101011101101000101100 1
44
10101101111111000100101110011100 1
45
10100000111010101101111010110011 1
46
01011110010110000101101101011110 1
47
01101011100000110111101100011001 1
48
01100100010111010001101001100000 1
49
11101100110100101100111000011101 1
50
01100101011000010111011101100001 1
51
11100010111001001101111101011110 1
52
01011001010111001000001010101000 1
53
01101011001010111001101110101100 1
54
10010110100101110101100101011001 1
55
00011011100111010110001011010000 1
56
11101001000001110010011001010111 1
57
01001001011001011010111001101101 1
58
10101110010011101001110000011001 1
59
10011011110101000010101100101011 1
60
01000011001010110101010000011011 1
61
11011100100100011110101110100011 1
62
00011011011100110010110101110100 1
63
01110101011001110111001010101100 1
64
10101100010011000110110100110010 1
65
10011000001100110001100101011100 1
66
11100000110001101101110011010010 1
67
11010010011101010110110010101110 1
68
11100001010000011010110010011011 1
69
11001001101001011100111010110100 1
70
10110100001110100011011111000101 1
71
00001001100101100101101111101011 1
72
01011011011110110111001101111111 1
73
01011100100110010101101110011010 1
74
01100101101100100010111010001100 1
75
00110100101110011101110111011101 1
76
11011110101100101011101000111010 1
77
10110011100100001001100010011011 1
78
10101011100100110111100101110011 1
79
00111011000011010000110101100111 1
80
00000001101010101000000101010101 1
81
10010101101100011101000110100110 1
82
00101101100010000011010011101000 1
83
10011101100101011000111000001101 1
84
00101100100010000011010111001101 1
85
11010001101110011001011010111011 1
86
00111001101100101011100101001011 1
87
10101100010110111001101001101011 1
88
00100011011100110111101010111101 1
89
01100001011011000111001001100101 1
90
10100001010000011010110010001101 1
91
11010101110101010000010000101000 1
92
00110101110001001101000011101001 1
93
00010110010101001100100100111010 1
94
10101110011011010010111011101110 1
95
01010101110010011000010100001100 1
96
00110110101101100011011000110111 1
97
10101000000111001000001010011010 1
98
01101011101000111001101101111010 1
99
00100111010110011101101110001110 1
100
10011001011010111010001100001011 1
101
01110011101011001010010101000100 1
102
11010100110010100000110010100110 1
103
00000110010100010010110001011011 1
104
10100111000001100000110111101101 1
105
11110101000010010001010011000100 1
106
10001111101011010110000101000001 1
107
10101011101101001100010110010101 1
108
10110001100101100101010010101011 1
109
00101011100110110000101100101010 1
110
10001001000100011000011001010011 1
111
01101001110100010110001101000011 1
112
00011101011100100011100100111001 1
113
00110001110100000110101011011001 1
114
10100001010011010111010001001100 1
115
10000011001000111000001110101101 1
116
01100100011001010111010001100001 1
117
10001011001010100010010100111010 1
118
01100010011011010110010110001011 1
119
00011001100101000001110010011001 1
120
01001100101010010110000110000010 1
121
11101001100011010011000100110000 1
122
00001010000011011010000101000001 1
123
10101100111011011101010110000101 1
124
00110001100101011001111010110000 1
125
10111010101101110010001011110100 1
126
11101001110011011010010110110000 1
127
10000110001100111000011010000000 1
128
11000110100000101010100010000101 1
129
00101001110100101010001010100101 1
130
01000101001010001010000010101010 1
131
01000101000110010011111010101001 1
132
10100100101001000010101001000001 1
133
00111101010010010100001010101010 1
134
00100001101000101010010101000001 1
135
01010001010101010001111010100010 1
136
10100001001001110010001011001010 1
137
00101101010001110101001001001011 1
138
01001111101010011110100001001001 1
139
00101001100100101000001101010100 1
140
11001001110100010101000011100000 1
141
10101100100010001000001001100011 1
142
00010101010101010011101010100110 1
143
10001010101001001010111111010100 1
144
11100100100101000101010001001010 1
145
10011100100111101001110010000011 1
146
01010011000101001000101010001010 1
147
10111010100010000001101000010100 1
148
00011010000000000101010000000000 1
149
00010100000001100000010000000011 1
150
10010000011010000101001000101010 1
151
00011010010011000101001100010000 1
152
01011000010010011100100010101100 1
153
00100100010101010010011000100100 1
154
10011110101010011010011100100111 1
155
10101011111010110010010001010100 1
156
01000110000110000001101010001001 1
157
01010011000000101000001101000010 1
158
10101011100110110100101110111011 1
159
00101100000111001001100001010000 1
160
11101011011000110110001101111011 1
161
10010011011111010100010101001000 1
162
01010100010011001010100110001001 1
163
00101001101010010011010100111001 1
164
00111001000101010001101110101001 1
165
10101010101010100100100001110000 1
166
01001100010101010100010100001001 1
167
00100100101010100100000100100101 1
168
00010000110011001110011000100111 1
169
00100111100000001100001100000010 1
170
11100100110000000100101000001000 1
171
00110001010010000100001110101010 1
172
01001000101010101000100010011100 1
173
01001011100100100110000011011100 1
174
11101110110111110101100101011010 1
175
00011101000110001010101100010011 1
176
00001010100100100100010100101101 1
177
01110100011010010000110110101100 1
178
10101101100011011110100000101001 1
179
01001100101101011000110110100101 1
180
10110001110011100010110000101110 1
181
11110000011001110110010101100010 1
182
10101101001011011100110111001101 1
183
00110010111010010101100111011011 1
184
10011001010110011111100000110111 1
185
10110010101110110100010111010001 1
186
11010010101111001011100100110010 1
187
00110010110001011100100110100110 1
188
00101100110011011110001100100110 1
189
10010111001111001110010011010010 1
190
11010001110000011110010110001001 1
191
11001110101110010011001010110010 1
192
10111010010101110011011010010110 1
193
11100110111111000100011110110100 1
194
01110101100001011000100000101000 1
195
00110111100000010110001101011011 1
196
01110101011001000110111001100001 1
197
01101000110101000100110111001101 1
198
00110101110110011000010110111101 1
199
10111011010101100000111010001101 1
200
11101110100101011001110011101001 1
201
10111101100100111000001100011011 1
202
01110011011111000101110010011011 1
203
11101011001010110100101110111011 1
204
10100111001011100111000001100101 1
205
01100011011010001000001100101011 1
206
01000011100001010110010001100001 1
207
01100101011100000101100110001100 1
208
10101100101111000101100100011101 1
209
00101011011110111010000001010000 1
210
01101001000010011010110110111110 1
211
10110111101100010011100100110010 1
212
11001011010001000001110011011010 1
213
01011100111110000011100100110010 1
214
10111010010101110011011000010111 1
215
01110110010010101100001011001010 1
216
11100100010110010101100111011011 1
217
10011010010111010010001011101010 1
218
11000101110001011101000110100111 1
219
01110001111011010001110101101111 1
220
01101110011101010111010010001011 1
221
00011011010010011000110101110011 1
222
01100011111010111001001101111011 1
223
00101011101101010110111001101111 1
224
01110100011000011010111001101110 1
225
01001110011011011101010110111101 1
226
10100100001101011011101001011010 1
227
01101001011000111010001101001000 1
228
01010100000110111001100001001001 1
229
11100010110100001110111100010110 1
230
01000110100101100001101011101000 1
231
11101001110000011100110111001101 1
232
11010110000011001010110011001101 1
233
11110001011001010110001010010110 1
234
00011010010110001101011011011110 1
235
11011111000101101000011101001010 1
236
01001110110100001100111011101011 1
237
00000110111101101100010000010000 1
238
00000111010000000000000000000000 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.