OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [test_file/] [output_compressed_AX2.txt] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 eejlny
10100100011011010010110011001101
2
11010000011001000110010101100110
3
10101101100011010010110111001110
4
10010100001101000010100111001101
5
11010010101100001011101000110100
6
10110001111101011000110110100001
7
10000101110010111010101001001100
8
01101110011010111111010100100101
9
10010001011011010111011110000001
10
11101000011010000101010001001000
11
00001000001010010001000100100010
12
01001011001001001001110101010110
13
01100110100101100101011011001010
14
11001000111001100101110011000111
15
00010010110001110110100000011000
16
10010111000110111101001100010011
17
10010011100100110100101001011110
18
01100000011000100101111100010011
19
00000011011010100110000001101010
20
01110100011001010100110110001110
21
10001100110011011111101011001110
22
11001010110111101100110100101100
23
11010000010001010111100001110000
24
10100100100001000100011101100001
25
10110100001010001000110110010101
26
10111010101100100011010010110011
27
00000110110100001010000011010000
28
10100010111100000001101001010100
29
01000001001001010101010010010001
30
00110001101111011001111001001110
31
10101011001100110100101100101011
32
01100101011001000111001100101110
33
01100011100010010110001110110001
34
10000001001000011011010101001001
35
10010101110110011010011010111001
36
10110100101101111011011101110000
37
00110001001011100011011111101001
38
10001001110010011100100110100101
39
00101111001100000011000100101111
40
10001001100000011011011101001100
41
00001101010011101000110010101001
42
10110001110100011001100110111100
43
10010000010101100111011001010110
44
11110110011001011000011001100101
45
11100000100011101100101011101001
46
00000111001001101001011001001000
47
10110111101100110100000110000101
48
10110001101100101011100100110010
49
10110011001100101000000010011011
50
10011000111000101100101011100111
51
00010111010001101111101010100110
52
11110010111001101110100100010110
53
01010110110110010101011010101110
54
01101110100011100100110100110001
55
01101110011001111010111001001101
56
11101110101011101001010110100101
57
10111001100101011100111001001011
58
00100000110011001101111011100100
59
00000011010111000001110100101011
60
00001011000100110100101101100101
61
01101001011101000111100100001101
62
10010000101010010010101010100101
63
00001110011011010010110011110101
64
10100000101001001011100000110100
65
01111000000101010000011010000101
66
00010011010101001001100101011101
67
10011010011010111001101101001011
68
01111011011101110000001100010010
69
11100011011011101001100010011100
70
10011100100110100101001011110011
71
00000011000100101111100010011000
72
00011010111101001100100011000000
73
11101000110001101001100110011101
74
00011010000110011100100100000101
75
01100111011001010110111101100110
76
01011000011001100101111000001000
77
00101100100011001001000001110100
78
01101000011001011010110110101100
79
00101111000011001101110101110000
80
01100001011100100110000110101101
81
10101100101011101000110010100110
82
00001110010000000000010101000111
83
11010101001001100101011101100110
84
10011010111001101101001011011110
85
11011101110000001100010010111000
86
11010111101001100010011100100111
87
00100110100101001011110011000000
88
11000100101111100010011000000110
89
10011101001100000011001000111010
90
00110100101001100000011101000110
91
01000110001100100100000101011001
92
11011001010110111101100110010110
93
00011001100101111010100110101100
94
00101101011011001011110000011101
95
00011010000110010110101101001011
96
01110011000110111001010101100101
97
01101101011001010110111010001011
98
10100011100111010111001101100101
99
01110100011101001010110000101100
100
01001101100011001011110100101000
101
01100110011010010110010110101101
102
10001100100010111110110110000110
103
00100110010101011111001110100010
104
00001000001100001011011100110010
105
01010110011001101001011001010110
106
11001010110010001011111011001100
107
11010011010110010101101100011001
108
00010111110010001000101001100000
109
01011100000110100001010100100101
110
01000110001100010000010110010010
111
10111001101110101011100000111000
112
01000001101111011100100111010010
113
00001100110011011110111001010000
114
01110100011010000110010110101000
115
11001001100010001000101111110101
116
00111001001111010100110100100010
117
10101001001001001010011100100101
118
11110101100110011011000110000101
119
10011101010100000000001010100101
120
11101010100100110010101110110011
121
01001101011100110110100101101111
122
01101110111000000110001001011100
123
01101001110100110001001110010011
124
10010011001110100101111001100000
125
01110010010111110001001100100011
126
01111110100110001001101110011101
127
00011010010100111000001110100011
128
00000011001010010010000010101100
129
11101100101011011110110011001011
130
00001100110010111100000100011001
131
10100101111000101011100110110111
132
10110110101100101111010110110001
133
10100101101110011101001100010001
134
10101100011011100001010110110001
135
10000101101001011011101000101110
136
10001110011100000110000101101110
137
01100100001011111010111000001100
138
00101110010011001011010110111001
139
11010001101000011001011010111001
140
10110100101111010011000011010111
141
01000110100101101111011011101110
142
10110010101110010011100100110111
143
11010111001001110011001011100000
144
11011001000010100111100000101010
145
01111110101010010011001010111011
146
00110100100110000011100111110000
147
00110001001011100011001111101001
148
10001001110010011100100110011101
149
00101111001100000011100100101111
150
10001001100000011100111101001100
151
00001100010011101000110001101001
152
10001001110100011000100110001100
153
10010000010101100111011001010110
154
11110110011001011000011001100101
155
11100000100000101100100011001001
156
00101100001101011100100110010101
157
11010001110101100010111001001101
158
11010101110110011000010110110001
159
11010110010110010110001011101000
160
11011111010110011001101001011001
161
01011011001010110010001110111011
162
10010011010011000001110100011001
163
01001011101101010110101110011011
164
10101011100000111000010000011011
165
11011100100111010010000011001100
166
11011110111001010101100010011000
167
01011000110110101110101110001011
168
10101011011110111010010001011001
169
01011100110011000110001100001011
170
01110001000100000110110010000101
171
01001001010101010111010101101110
172
01110011011101000101000110001110
173
01001101001100010110010101100100
174
01001110101110011011011000110000
175
10111001110101101000011001010111
176
00110010111010001000011010000101
177
01000000101010000011010000101001
178
00011010101001001100101011101100
179
11010011010111001101101001011011
180
11011011101110000001100010010111
181
00011001011101001100010011100100
182
11100100110011101001011110011000
183
00011100000101111100010011001000
184
11011011101001100000011000000111
185
01000110000101001100100011101000
186
11010100110000100100100000101011
187
00111011001010110111101100110010
188
11000011001100101111000001000110
189
01101001011110001001011000011010
190
11100110111010001110101011100001
191
00010110100101100100101011011100
192
11101010110110001101100101001011
193
01011100000110111101101001101011
194
01110011101000110010101110010111
195
01011000100111010101100111000011
196
01100100001010010100000010101001
197
01011010101001001100101011101100
198
11010011010111001101101001011011
199
11011011101110000001100010010111
200
00011000111101001100010011100100
201
11100100110011101001011110011000
202
00011100000101111100010011001000
203
11010111101001100100011000100111
204
01000110011101001100100011101000
205
11000000110101100100100000101011
206
00111011001010110111101100110010
207
11000011001100101111010100100101
208
10111001101001011101001000001101
209
00101100001011011000110100001110
210
01001101110001000011010000101000
211
11000000101010101001010100010111
212
10000110100001010000100010001000
213
11011010011010110111001100011011
214
01100011101011000101100100011001
215
01101001111000111001101110100011
216
00100101011010010110111100101110
217
01101000001100011010011111000100
218
01110101101001011011100110001101
219
10110010000011101010110010001100
220
10101011001100001000100110001110
221
10110011001101001011001110010111
222
00101000110001101000001000101010
223
01000110110100101101110011000111
224
01011011000111010101100100011001
225
01111010010001001100110011010010
226
11001011010110110001100100011100
227
11001011100010010111100111101100
228
11010101100101011011000110010001
229
01111110010111010000010001100001
230
01010000010010001001001110010100
231
00011001010110000101100100000000
232
00000000000000000000000000000000
233
10100100011011010010110011001101
234
11010000011001000110010101100110
235
10101101100011010010110111001110
236
10010100001101000010100111001101
237
11010010101100001011101000110100
238
10110001111101011000110110100001
239
10000101110010111010101001001100
240
01101110011010111111010100100101
241
10010001011011010111011110000001
242
11101000011010000101010001001000
243
00001000001010010001000100100010
244
01001011001001001001110101010110
245
01100110100101100101011011001010
246
11001000111001100101110011000111
247
00010010110001110110100000011000
248
10010111000110111101001100010011
249
10010011100100110100101001011110
250
01100000011000100101111100010011
251
00000011011010100110000001101010
252
01110100011001010100110110001110
253
10001100110011011111101011001110
254
11001010110111101100110100101100
255
11010000010001010111100001110000
256
10100100100001000100011101100001
257
10110100001010001000110110010101
258
10111010101100100011010010110011
259
00000110110100001010000011010000
260
10100010111100000001101001010100
261
01000001001001010101010010010001
262
00110001101111011001111001001110
263
10101011001100110100101100101011
264
01100101011001000111001100101110
265
01100011100010010110001110110001
266
10000001001000011011010101001001
267
10010101110110011010011010111001
268
10110100101101111011011101110000
269
00110001001011100011011111101001
270
10001001110010011100100110100101
271
00101111001100000011000100101111
272
10001001100000011011011101001100
273
00001101010011101000110010101001
274
10110001110100011001100110111100
275
10010000010101100111011001010110
276
11110110011001011000011001100101
277
11100000100011101100101011101001
278
00000111001001101001011001001000
279
10110111101100110100000110000101
280
10110001101100101011100100110010
281
10110011001100101000000010011011
282
10011000111000101100101011100111
283
00010111010001101111101010100110
284
11110010111001101110100100010110
285
01010110110110010101011010101110
286
01101110100011100100110100110001
287
01101110011001111010111001001101
288
11101110101011101001010110100101
289
10111001100101011100111001001011
290
00100000110011001101111011100100
291
00000011010111000001110100101011
292
00001011000100110100101101100101
293
01101001011101000111100100001101
294
10010000101010010010101010100101
295
00001110011011010010110011110101
296
10100000101001001011100000110100
297
01111000000101010000011010000101
298
00010011010101001001100101011101
299
10011010011010111001101101001011
300
01111011011101110000001100010010
301
11100011011011101001100010011100
302
10011100100110100101001011110011
303
00000011000100101111100010011000
304
00011010111101001100100011000000
305
11101000110001101001100110011101
306
00011010000110011100100100000101
307
01100111011001010110111101100110
308
01011000011001100101111000001000
309
00101100100011001001000001110100
310
01101000011001011010110110101100
311
00101111000011001101110101110000
312
01100001011100100110000110101101
313
10101100101011101000110010100110
314
00001110010000000000010101000111
315
11010101001001100101011101100110
316
10011010111001101101001011011110
317
11011101110000001100010010111000
318
11010111101001100010011100100111
319
00100110100101001011110011000000
320
11000100101111100010011000000110
321
10011101001100000011001000111010
322
00110100101001100000011101000110
323
01000110001100100100000101011001
324
11011001010110111101100110010110
325
00011001100101111010100110101100
326
00101101011011001011110000011101
327
00011010000110010110101101001011
328
01110011000110111001010101100101
329
01101101011001010110111010001011
330
10100011100111010111001101100101
331
01110100011101001010110000101100
332
01001101100011001011110100101000
333
01100110011010010110010110101101
334
10001100100010111110110110000110
335
00100110010101011111001110100010
336
00001000001100001011011100110010
337
01010110011001101001011001010110
338
11001010110010001011111011001100
339
11010011010110010101101100011001
340
00010111110010001000101001100000
341
01011100000110100001010100100101
342
01000110001100010000010110010010
343
10111001101110101011100000111000
344
01000001101111011100100111010010
345
00001100110011011110111001010000
346
01110100011010000110010110101000
347
11001001100010001000101111110101
348
00111001001111010100110100100010
349
10101001001001001010011100100101
350
11110101100110011011000110000101
351
10011101010100000000001010100101
352
11101010100100110010101110110011
353
01001101011100110110100101101111
354
01101110111000000110001001011100
355
01101001110100110001001110010011
356
10010011001110100101111001100000
357
01110010010111110001001100100011
358
01111110100110001001101110011101
359
00011010010100111000001110100011
360
00000011001010010010000010101100
361
11101100101011011110110011001011
362
00001100110010111100000100011001
363
10100101111000101011100110110111
364
10110110101100101111010110110001
365
10100101101110011101001100010001
366
10101100011011100001010110110001
367
10000101101001011011101000101110
368
10001110011100000110000101101110
369
01100100001011111010111000001100
370
00101110010011001011010110111001
371
11010001101000011001011010111001
372
10110100101111010011000011010111
373
01000110100101101111011011101110
374
10110010101110010011100100110111
375
11010111001001110011001011100000
376
11011001000010100111100000101010
377
01111110101010010011001010111011
378
00110100100110000011100111110000
379
00110001001011100011001111101001
380
10001001110010011100100110011101
381
00101111001100000011100100101111
382
10001001100000011100111101001100
383
00001100010011101000110001101001
384
10001001110100011000100110001100
385
10010000010101100111011001010110
386
11110110011001011000011001100101
387
11100000100000101100100011001001
388
00101100001101011100100110010101
389
11010001110101100010111001001101
390
11010101110110011000010110110001
391
11010110010110010110001011101000
392
11011111010110011001101001011001
393
01011011001010110010001110111011
394
10010011010011000001110100011001
395
01001011101101010110101110011011
396
10101011100000111000010000011011
397
11011100100111010010000011001100
398
11011110111001010101100010011000
399
01011000110110101110101110001011
400
10101011011110111010010001011001
401
01011100110011000110001100001011
402
01110001000100000110110010000101
403
01001001010101010111010101101110
404
01110011011101000101000110001110
405
01001101001100010110010101100100
406
01001110101110011011011000110000
407
10111001110101101000011001010111
408
00110010111010001000011010000101
409
01000000101010000011010000101001
410
00011010101001001100101011101100
411
11010011010111001101101001011011
412
11011011101110000001100010010111
413
00011001011101001100010011100100
414
11100100110011101001011110011000
415
00011100000101111100010011001000
416
11011011101001100000011000000111
417
01000110000101001100100011101000
418
11010100110000100100100000101011
419
00111011001010110111101100110010
420
11000011001100101111000001000110
421
01101001011110001001011000011010
422
11100110111010001110101011100001
423
00010110100101100100101011011100
424
11101010110110001101100101001011
425
01011100000110111101101001101011
426
01110011101000110010101110010111
427
01011000100111010101100111000011
428
01100100001010010100000010101001
429
01011010101001001100101011101100
430
11010011010111001101101001011011
431
11011011101110000001100010010111
432
00011000111101001100010011100100
433
11100100110011101001011110011000
434
00011100000101111100010011001000
435
11010111101001100100011000100111
436
01000110011101001100100011101000
437
11000000110101100100100000101011
438
00111011001010110111101100110010
439
11000011001100101111010100100101
440
10111001101001011101001000001101
441
00101100001011011000110100001110
442
01001101110001000011010000101000
443
11000000101010101001010100010111
444
10000110100001010000100010001000
445
11011010011010110111001100011011
446
01100011101011000101100100011001
447
01101001111000111001101110100011
448
00100101011010010110111100101110
449
01101000001100011010011111000100
450
01110101101001011011100110001101
451
10110010000011101010110010001100
452
10101011001100001000100110001110
453
10110011001101001011001110010111
454
00101000110001101000001000101010
455
01000110110100101101110011000111
456
01011011000111010101100100011001
457
01111010010001001100110011010010
458
11001011010110110001100100011100
459
11001011100010010111100111101100
460
11010101100101011011000110010001
461
01111110010111010000010001100001
462
01010000010010001001001110010100
463
00011001010110000101100100000000
464
00000000000000000000000000000000

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.