OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [VHDL/] [clock/] [clock.vhdl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lcdsgmtr
-- Copyright 2015, Jürgen Defurne
2
--
3
-- This file is part of the Experimental Unstable CPU System.
4
--
5
-- The Experimental Unstable CPU System Is free software: you can redistribute
6
-- it and/or modify it under the terms of the GNU Lesser General Public License
7
-- as published by the Free Software Foundation, either version 3 of the
8
-- License, or (at your option) any later version.
9
--
10
-- The Experimental Unstable CPU System is distributed in the hope that it will
11
-- be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
-- General Public License for more details.
14
--
15
-- You should have received a copy of the GNU Lesser General Public License
16
-- along with Experimental Unstable CPU System. If not, see
17
-- http://www.gnu.org/licenses/lgpl.txt.
18
 
19
 
20
LIBRARY IEEE;
21
USE IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
ENTITY clock IS
33
  PORT (clock_in     : IN  STD_LOGIC;
34
        clock_out    : OUT STD_LOGIC;
35
        clock_locked : OUT STD_LOGIC);
36
END clock;
37
 
38
ARCHITECTURE Behavioral OF clock IS
39
 
40
  COMPONENT clk_wiz_v3_3 IS
41
    PORT
42
      (                                 -- Clock in ports
43
        CLK_IN1  : IN  STD_LOGIC;
44
        -- Clock out ports
45
        CLK_OUT1 : OUT STD_LOGIC;
46
        -- Status and control signals
47
        LOCKED   : OUT STD_LOGIC
48
        );
49
  END COMPONENT clk_wiz_v3_3;
50
 
51
BEGIN
52
 
53
  CLK1 : clk_wiz_v3_3 PORT MAP (
54
    CLK_IN1  => clock_in,
55
    CLK_OUT1 => clock_out,
56
    LOCKED   => clock_locked);
57
 
58
END Behavioral;
59
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.