OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [VHDL/] [mux4to1/] [mux4to1.vhdl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lcdsgmtr
-- Copyright 2015, Jürgen Defurne
2
--
3
-- This file is part of the Experimental Unstable CPU System.
4
--
5
-- The Experimental Unstable CPU System Is free software: you can redistribute
6
-- it and/or modify it under the terms of the GNU Lesser General Public License
7
-- as published by the Free Software Foundation, either version 3 of the
8
-- License, or (at your option) any later version.
9
--
10
-- The Experimental Unstable CPU System is distributed in the hope that it will
11
-- be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
-- General Public License for more details.
14
--
15
-- You should have received a copy of the GNU Lesser General Public License
16
-- along with Experimental Unstable CPU System. If not, see
17
-- http://www.gnu.org/licenses/lgpl.txt.
18
 
19
 
20
LIBRARY ieee;
21
USE ieee.std_logic_1164.ALL;
22
USE ieee.numeric_std.ALL;
23
 
24
LIBRARY unisim;
25
USE unisim.vcomponents.ALL;
26
 
27
ENTITY mux4to1 IS
28
 
29
  GENERIC (
30
    width : NATURAL := 1);
31
 
32
  PORT (
33
    S0  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
34
    S1  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
35
    S2  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
36
    S3  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
37
    sel : IN  STD_LOGIC_VECTOR(1 DOWNTO 0);
38
    Y   : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0));
39
 
40
END mux4to1;
41
 
42
--ARCHITECTURE component_based OF mux4to1 IS
43
 
44
--BEGIN
45
 
46
--  MUX1 :
47
--  FOR i IN width - 1 DOWNTO 0 GENERATE
48
--    MUX : LUT6
49
--      GENERIC MAP (INIT => X"FF00F0F0CCCCAAAA")
50
--      PORT MAP(I0 => S0(i),
51
--               I1 => S1(i),
52
--               I2 => S2(i),
53
--               I3 => S3(i),
54
--               I4 => sel(0),
55
--               I5 => sel(1),
56
--               O  => Y(i));
57
--  END GENERATE MUX1;
58
 
59
--END component_based;
60
 
61
ARCHITECTURE Behavioral OF mux4to1 IS
62
 
63
BEGIN  -- Behavioral
64
 
65
  WITH sel SELECT
66
    Y <=
67
    S0 WHEN "00",
68
    S1 WHEN "01",
69
    S2 WHEN "10",
70
    S3 WHEN "11";
71
 
72
END Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.