OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [VHDL/] [mux8to1/] [mux4to1.vhdl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lcdsgmtr
-- Copyright 2015, Jürgen Defurne
2
--
3
-- This file is part of the Experimental Unstable CPU System.
4
--
5
-- The Experimental Unstable CPU System Is free software: you can redistribute
6
-- it and/or modify it under the terms of the GNU Lesser General Public License
7
-- as published by the Free Software Foundation, either version 3 of the
8
-- License, or (at your option) any later version.
9
--
10
-- The Experimental Unstable CPU System is distributed in the hope that it will
11
-- be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
-- General Public License for more details.
14
--
15
-- You should have received a copy of the GNU Lesser General Public License
16
-- along with Experimental Unstable CPU System. If not, see
17
-- http://www.gnu.org/licenses/lgpl.txt.
18
 
19
 
20
LIBRARY ieee;
21
USE ieee.std_logic_1164.ALL;
22
USE ieee.numeric_std.ALL;
23
 
24
LIBRARY unisim;
25
USE unisim.vcomponents.ALL;
26
 
27
ENTITY mux8to1 IS
28
 
29
  GENERIC (
30
    width : NATURAL := 1);
31
 
32
  PORT (
33
    S0  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
34
    S1  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
35
    S2  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
36
    S3  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
37
    S4  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
38
    S5  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
39
    S6  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
40
    S7  : IN  STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
41
    sel : IN  STD_LOGIC_VECTOR(2 DOWNTO 0);
42
    Y   : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0));
43
 
44
END mux8to1;
45
 
46
--ARCHITECTURE component_based OF mux4to1 IS
47
 
48
--BEGIN
49
 
50
--  MUX1 :
51
--  FOR i IN width - 1 DOWNTO 0 GENERATE
52
--    MUX : LUT6
53
--      GENERIC MAP (INIT => X"FF00F0F0CCCCAAAA")
54
--      PORT MAP(I0 => S0(i),
55
--               I1 => S1(i),
56
--               I2 => S2(i),
57
--               I3 => S3(i),
58
--               I4 => sel(0),
59
--               I5 => sel(1),
60
--               O  => Y(i));
61
--  END GENERATE MUX1;
62
 
63
--END component_based;
64
 
65
ARCHITECTURE Behavioral OF mux8to1 IS
66
 
67
BEGIN  -- Behavioral
68
 
69
  WITH sel SELECT
70
    Y <=
71
    S0 WHEN "000",
72
    S1 WHEN "001",
73
    S2 WHEN "010",
74
    S3 WHEN "011",
75
    S4 WHEN "100",
76
    S5 WHEN "101",
77
    S6 WHEN "110",
78
    S7 WHEN "111";
79
 
80
END Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.