OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [VHDL/] [pipeline/] [memory.vhdl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lcdsgmtr
-- Copyright 2015, Jürgen Defurne
2
--
3
-- This file is part of the Experimental Unstable CPU System.
4
--
5
-- The Experimental Unstable CPU System Is free software: you can redistribute
6
-- it and/or modify it under the terms of the GNU Lesser General Public License
7
-- as published by the Free Software Foundation, either version 3 of the
8
-- License, or (at your option) any later version.
9
--
10
-- The Experimental Unstable CPU System is distributed in the hope that it will
11
-- be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
-- General Public License for more details.
14
--
15
-- You should have received a copy of the GNU Lesser General Public License
16
-- along with Experimental Unstable CPU System. If not, see
17
-- http://www.gnu.org/licenses/lgpl.txt.
18
 
19
 
20
LIBRARY ieee;
21
USE ieee.std_logic_1164.ALL;
22
USE ieee.numeric_std.all;
23
 
24
ENTITY memory IS
25
 
26
  PORT (
27
    CLK     : IN  STD_LOGIC;
28
    ADDRESS : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
29
    Q       : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
30
 
31
END ENTITY memory;
32
 
33
ARCHITECTURE Behavioral OF memory IS
34
 
35
  TYPE mem_type IS ARRAY (0 TO 255) OF STD_LOGIC_VECTOR(7 DOWNTO 0);
36
 
37
  SIGNAL memory : mem_type := (
38
    X"F0", X"17", X"AF", X"AD",
39
    X"AF", X"36", X"59", X"0F",
40
    OTHERS => X"00"
41
    );
42
  SIGNAL iar    : INTEGER RANGE 0 TO 255 := 0;
43
 
44
BEGIN  -- ARCHITECTURE Behavioral
45
 
46
  register_iar: PROCESS (CLK) IS
47
  BEGIN  -- PROCESS register_iar
48
    IF rising_edge(CLK) THEN  -- rising clock edge
49
      iar <= to_integer(UNSIGNED(ADDRESS));
50
    END IF;
51
  END PROCESS register_iar;
52
 
53
  Q <= memory(iar);
54
 
55
END ARCHITECTURE Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.