OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [VHDL/] [pipeline/] [memory_processor-mealy.vhdl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lcdsgmtr
-- Copyright 2015, Jürgen Defurne
2
--
3
-- This file is part of the Experimental Unstable CPU System.
4
--
5
-- The Experimental Unstable CPU System Is free software: you can redistribute
6
-- it and/or modify it under the terms of the GNU Lesser General Public License
7
-- as published by the Free Software Foundation, either version 3 of the
8
-- License, or (at your option) any later version.
9
--
10
-- The Experimental Unstable CPU System is distributed in the hope that it will
11
-- be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
-- General Public License for more details.
14
--
15
-- You should have received a copy of the GNU Lesser General Public License
16
-- along with Experimental Unstable CPU System. If not, see
17
-- http://www.gnu.org/licenses/lgpl.txt.
18
 
19
 
20
ARCHITECTURE mealy OF memory_processor IS
21
 
22
  TYPE state IS (S0, S1, S2, S3);
23
 
24
  SIGNAL current_state : state;
25
  SIGNAL next_state    : state;
26
 
27
BEGIN  -- ARCHITECTURE mealy
28
 
29
  -- Mealy machine input combinational logic: next state
30
  PROCESS (current_state, FULL)
31
  BEGIN
32
    CASE current_state IS
33
      WHEN S0 =>
34
        IF FULL = '0' THEN
35
          next_state <= S0;
36
        ELSE
37
          next_state <= S1;
38
        END IF;
39
      WHEN S1 =>
40
        next_state <= S2;
41
      WHEN S2 =>
42
        next_state <= S3;
43
      WHEN S3 =>
44
        next_state <= S3;
45
    END CASE;
46
  END PROCESS;
47
 
48
  -- Mealy machine sequential state logic
49
  PROCESS (CLK, RST)
50
  BEGIN
51
    IF rising_edge(CLK) THEN
52
      IF RST = '1' THEN
53
        current_state <= S0;
54
      ELSE
55
        current_state <= next_state;
56
      END IF;
57
    END IF;
58
  END PROCESS;
59
 
60
  -- Mealy machine output combinational logic
61
  PROCESS (current_state, FULL)
62
  BEGIN
63
    CASE current_state IS
64
      WHEN S0 =>
65
        IF full = '0' THEN
66
          PULL <= '0';
67
        ELSE
68
          PULL <= '1';
69
        END IF;
70
      WHEN S1 =>
71
        PULL <= '0';
72
      WHEN S2 =>
73
        PULL <= '0';
74
      WHEN S3 =>
75
        PULL <= '0';
76
    END CASE;
77
  END PROCESS;
78
 
79
END ARCHITECTURE mealy;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.