OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [VHDL/] [registers/] [alu.vhdl] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lcdsgmtr
-- Copyright 2015, Jürgen Defurne
2
--
3
-- This file is part of the Experimental Unstable CPU System.
4
--
5
-- The Experimental Unstable CPU System Is free software: you can redistribute
6
-- it and/or modify it under the terms of the GNU Lesser General Public License
7
-- as published by the Free Software Foundation, either version 3 of the
8
-- License, or (at your option) any later version.
9
--
10
-- The Experimental Unstable CPU System is distributed in the hope that it will
11
-- be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
-- General Public License for more details.
14
--
15
-- You should have received a copy of the GNU Lesser General Public License
16
-- along with Experimental Unstable CPU System. If not, see
17
-- http://www.gnu.org/licenses/lgpl.txt.
18
 
19
 
20
LIBRARY ieee;
21
USE ieee.std_logic_1164.ALL;
22
USE ieee.numeric_std.ALL;
23
 
24
ENTITY ALU IS
25
 
26
  PORT (
27
    I1 : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
28
    I2 : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
29
    R1 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
30
    F1 : IN  STD_LOGIC_VECTOR(1 DOWNTO 0));
31
 
32
END ENTITY ALU;
33
 
34
ARCHITECTURE Behavioral OF ALU IS
35
 
36
BEGIN  -- ARCHITECTURE Behavioral
37
 
38
  PROCESS (I1, I2, F1)
39
  BEGIN
40
    CASE F1 IS
41
      WHEN "00" =>
42
        R1 <= "00000000";
43
      WHEN "01" =>
44
        R1 <= STD_LOGIC_VECTOR(UNSIGNED(I1) + UNSIGNED(I2));
45
      WHEN "10" =>
46
        R1 <= STD_LOGIC_VECTOR(UNSIGNED(I1) + 1);
47
      WHEN "11" =>
48
        R1 <= "11111111";
49
      WHEN OTHERS
50
        => NULL;
51
    END CASE;
52
 
53
  END PROCESS;
54
 
55
END ARCHITECTURE Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.