OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [src/] [system/] [Makefile] - Blame information for rev 21

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 lcdsgmtr
# Copyright 2015, Jürgen Defurne
2
#
3
# This file is part of the Experimental Unstable CPU System.
4
#
5
# The Experimental Unstable CPU System Is free software: you can redistribute
6
# it and/or modify it under the terms of the GNU Lesser General Public License
7
# as published by the Free Software Foundation, either version 3 of the
8
# License, or (at your option) any later version.
9
#
10
# The Experimental Unstable CPU System is distributed in the hope that it will
11
# be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
# General Public License for more details.
14
#
15
# You should have received a copy of the GNU Lesser General Public License
16
# along with Experimental Unstable CPU System. If not, see
17
# http://www.gnu.org/licenses/lgpl.txt.
18
 
19
FIND=gfind
20
XARGS=gxargs
21
UNISIM=/usr/local/share
22
SOURCE= ../VHDL/file/arrayio.vhdl \
23
        ../VHDL/multiplexer/MUX.vhdl \
24
        ../VHDL/blockram/RAM.vhdl \
25
        components.vhdl \
26
        controllers.vhdl \
27
        uctrl.vhdl \
28
        alu2.vhdl \
29
        system.vhdl \
30
        gpio_in.vhdl \
31
        gpio_out.vhdl \
32
        incr.vhdl \
33
        regf.vhdl \
34
        sync_reset.vhdl \
35
        zerof.vhdl \
36
        decoder.vhdl \
37
        system_sim.vhdl \
38
        startup_sim.vhdl \
39
        clock.vhdl \
40
        ipcore_dir/clock_core_gen.vhd \
41
        data_reg.vhdl
42
 
43
unisim: unisim-obj93.cf
44
        ghdl -a --ieee=synopsys --work=unisim $(UNISIM)/unisims/*.vhd
45
        $(FIND) $(UNISIM)/unisims/primitive/*.vhd -print0 | $(XARGS) -0 -n 1 -t ghdl -a --ieee=synopsys --work=unisim -fexplicit
46
 
47
unisim-obj93.cf:
48
 
49
analyse:
50
        ghdl -a -P. --ieee=synopsys $(SOURCE)
51
 
52
build: unisim-obj93.cf analyse
53
        ghdl -e -g -P. --warn-unused --ieee=synopsys startup_sim
54
 
55
run: build
56
        ghdl -r -P. startup_sim --wave=startup_sim.ghw --stop-time=2us
57
 
58
clean:
59
        -rm *.o
60
        -rm unisim*
61
 
62
init: cp_init
63
 
64
cp_init:
65
        cp uctrl-init.vhdl uctrl.vhdl
66
 
67
main: cp_main
68
 
69
cp_main:
70
        cp uctrl-main.vhdl uctrl.vhdl
71
 
72
test: cp_test
73
 
74
cp_test:
75
        cp test/$(INST).txt input_data.txt
76
 
77
# vim:set noet tw=0 ts=8:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.