OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [src/] [system/] [controllers.vhdl] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lcdsgmtr
-- Copyright 2015, Jürgen Defurne
2
--
3
-- This file is part of the Experimental Unstable CPU System.
4
--
5
-- The Experimental Unstable CPU System Is free software: you can redistribute
6
-- it and/or modify it under the terms of the GNU Lesser General Public License
7
-- as published by the Free Software Foundation, either version 3 of the
8
-- License, or (at your option) any later version.
9
--
10
-- The Experimental Unstable CPU System is distributed in the hope that it will
11
-- be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
-- General Public License for more details.
14
--
15
-- You should have received a copy of the GNU Lesser General Public License
16
-- along with Experimental Unstable CPU System. If not, see
17
-- http://www.gnu.org/licenses/lgpl.txt.
18
 
19
 
20
LIBRARY ieee;
21
USE ieee.std_logic_1164.ALL;
22
 
23
ENTITY uctrl IS
24
 
25
  PORT (
26
    CLK      : IN  STD_LOGIC;
27
    RST      : IN  STD_LOGIC;
28
    PC_SRC   : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
29
    LD_PC    : OUT STD_LOGIC;
30
    LD_IR    : OUT STD_LOGIC;
31
    LD_DP    : OUT STD_LOGIC;
32
    REG_SRC  : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
33
    RFA_A    : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
34
    RFA_B    : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
35
    REG_WR   : OUT STD_LOGIC;
36
    LD_REG_A : OUT STD_LOGIC;
37
    LD_REG_B : OUT STD_LOGIC;
38
    LD_MAR   : OUT STD_LOGIC;
39
    LD_MDR   : OUT STD_LOGIC;
40
    MEM_WR   : OUT STD_LOGIC;
41
    ALU_OP   : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
42
    INT      : IN  STD_LOGIC;
43
    ZERO     : IN  STD_LOGIC;
44
    IR_IN    : IN  STD_LOGIC_VECTOR(15 DOWNTO 0));
45
 
46
END ENTITY uctrl;
47
 
48
LIBRARY ieee;
49
USE ieee.std_logic_1164.ALL;
50
 
51
PACKAGE controllers IS
52
 
53
  COMPONENT uctrl IS
54
 
55
    PORT (
56
    CLK      : IN  STD_LOGIC;
57
    RST      : IN  STD_LOGIC;
58
    PC_SRC   : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
59
    LD_PC    : OUT STD_LOGIC;
60
    LD_IR    : OUT STD_LOGIC;
61
    LD_DP    : OUT STD_LOGIC;
62
    REG_SRC  : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
63
    RFA_A    : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
64
    RFA_B    : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
65
    REG_WR   : OUT STD_LOGIC;
66
    LD_REG_A : OUT STD_LOGIC;
67
    LD_REG_B : OUT STD_LOGIC;
68
    LD_MAR   : OUT STD_LOGIC;
69
    LD_MDR   : OUT STD_LOGIC;
70
    MEM_WR   : OUT STD_LOGIC;
71
    ALU_OP   : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
72
    INT      : IN  STD_LOGIC;
73
    ZERO     : IN  STD_LOGIC;
74
    IR_IN    : IN  STD_LOGIC_VECTOR(15 DOWNTO 0));
75
 
76
  END COMPONENT uctrl;
77
 
78
END PACKAGE controllers;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.