OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [ss/] [instruction_cache_control.vhdl] - Blame information for rev 35

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 lcdsgmtr
LIBRARY ieee;
2
USE ieee.std_logic_1164.ALL;
3
 
4
ENTITY instruction_cache_control IS
5
 
6
  PORT (
7
    instruction_fetch   : IN  STD_LOGIC;
8
    instruction_ack     : OUT STD_LOGIC;
9
    bus_request         : OUT STD_LOGIC;
10
    bus_ack             : IN  STD_LOGIC;
11
    bus_wait            : IN  STD_LOGIC;
12
    instruction_address : IN  STD_LOGIC_VECTOR(14 DOWNTO 0);
13
    instruction         : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
14
    address_bus         : OUT STD_LOGIC_VECTOR(14 DOWNTO 0);
15
    data_bus            : IN  STD_LOGIC_VECTOR(15 DOWNTO 0));
16
 
17
END ENTITY instruction_cache_control;
18
 
19
LIBRARY ieee;
20
USE ieee.std_logic_1164.ALL;
21
 
22
ENTITY instruction_cache IS
23
 
24
  PORT (
25
    valid       : IN  STD_LOGIC;
26
    tag         : IN  STD_LOGIC_VECTOR(2 DOWNTO 0);
27
    row_address : IN  STD_LOGIC_VECTOR(8 DOWNTO 0);
28
    col_address : IN  STD_LOGIC_VECTOR(2 DOWNTO 0);
29
    hit         : OUT STD_LOGIC;
30
    wr          : IN  STD_LOGIC;
31
    wr_instr    : IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
32
    rd_instr    : OUT STD_LOGIC_VECTOR(15 DOWNTO 0));
33
 
34
END ENTITY instruction_cache;
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.ALL;
38
 
39
ENTITY instruction_fetch IS
40
 
41
  PORT (
42
    instruction_fetch   : OUT STD_LOGIC;
43
    instruction_ack     : IN  STD_LOGIC;
44
    instruction_address : OUT STD_LOGIC_VECTOR(14 DOWNTO 0);
45
    instruction         : IN  STD_LOGIC_VECTOR(15 DOWNTO 0));
46
 
47
END ENTITY instruction_fetch;
48
 
49
LIBRARY ieee;
50
USE ieee.std_logic_1164.ALL;
51
 
52
ENTITY system_bus IS
53
 
54
  PORT (
55
    address_bus_src : IN  STD_LOGIC_VECTOR(14 DOWNTO 0);
56
    data_bus_src    : IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
57
    bus_request     : IN  STD_LOGIC;
58
    bus_ack         : OUT STD_LOGIC;
59
    bus_wait        : OUT STD_LOGIC;
60
    address_bus_out : OUT STD_LOGIC_VECTOR(14 DOWNTO 0);
61
    data_bus_out    : OUT STD_LOGIC_VECTOR(15 DOWNTO 0));
62
 
63
END ENTITY system_bus;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.