OpenCores
URL https://opencores.org/ocsvn/xulalx25soc/xulalx25soc/trunk

Subversion Repositories xulalx25soc

[/] [xulalx25soc/] [trunk/] [rtl/] [busmaster.v] - Blame information for rev 83

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 dgisselq
`define XULA25
2 2 dgisselq
///////////////////////////////////////////////////////////////////////////
3
//
4
// Filename:    busmaster.v
5
//
6
// Project:     XuLA2 board
7
//
8
// Purpose:     This is the highest level, Verilator simulatable, portion of
9
//              the XuLA2 core.  You should be able to successfully Verilate 
10
//      this file, and then build a test bench that tests and proves the
11
//      capability of anything within here.
12
//
13
//      In general, this means the file is little more than a wishbone
14
//      interconnect that connects multiple devices together.  User-JTAG
15
//      commands come in via i_rx_stb and i_rx_data.  These are converted into
16
//      wishbone bus interactions, the results of which come back out via
17
//      o_tx_data and o_tx_stb.
18
//
19
//
20
// Creator:     Dan Gisselquist, Ph.D.
21
//              Gisselquist Technology, LLC
22
//
23
///////////////////////////////////////////////////////////////////////////
24
//
25
// Copyright (C) 2015, Gisselquist Technology, LLC
26
//
27
// This program is free software (firmware): you can redistribute it and/or
28
// modify it under the terms of  the GNU General Public License as published
29
// by the Free Software Foundation, either version 3 of the License, or (at
30
// your option) any later version.
31
//
32
// This program is distributed in the hope that it will be useful, but WITHOUT
33
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
34
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
35
// for more details.
36
//
37
// License:     GPL, v3, as defined and found on www.gnu.org,
38
//              http://www.gnu.org/licenses/gpl.html
39
//
40
//
41
///////////////////////////////////////////////////////////////////////////
42
//
43 31 dgisselq
 
44 46 dgisselq
//
45
// Configuration question #1
46
//
47
//      What innate capabilities are built into the board?
48
//
49 2 dgisselq
`define INCLUDE_ZIPCPU
50
// `define      NO_ZIP_WBU_DELAY
51
`define IMPLEMENT_ONCHIP_RAM
52 31 dgisselq
`ifndef VERILATOR
53
`ifndef XULA25
54 74 dgisselq
// `define      FANCY_ICAP_ACCESS
55 31 dgisselq
`endif
56
`endif
57 2 dgisselq
`define FLASH_ACCESS
58 74 dgisselq
`ifdef  XULA25
59
`define SDCARD_ACCESS
60
`endif
61 18 dgisselq
//
62 46 dgisselq
 
63
 
64 18 dgisselq
//
65 46 dgisselq
// Configuration question #2
66
//
67
//      Are any scopes built in to the board?
68
//
69
 
70
//
71
// Position #1: The flash scope, or perhaps the wishbone bus/uart/jtag scope
72
//
73 2 dgisselq
// `define      FLASH_SCOPE
74 46 dgisselq
`ifndef FLASH_SCOPE
75
// `define      WBUS_SCOPE // Occupies the FLASH_SCOPE location, so both cannot be active
76
`endif
77
//
78 74 dgisselq
// Position #2: The ICAP configuration scope, could also be the SDCard scope
79
// depending on how we configure ourselves here
80 46 dgisselq
//
81 74 dgisselq
`ifdef  XULA25
82 18 dgisselq
`ifdef  FANCY_ICAP_ACCESS
83 46 dgisselq
`define CFG_SCOPE // Only defined if we have the access ...
84 74 dgisselq
`else
85
`ifdef  SDCARD_ACCESS
86
`define SDCARD_SCOPE
87 18 dgisselq
`endif
88 74 dgisselq
`endif
89
`endif
90 46 dgisselq
//
91 74 dgisselq
// Position #3: The SDRAM scope / UART scope (never both)
92 46 dgisselq
//
93 74 dgisselq
// `define      SDRAM_SCOPE
94
// `define      UART_SCOPE
95 46 dgisselq
//
96
// Position #4: The Zip CPU scope
97
//
98 31 dgisselq
`ifdef  XULA25
99 46 dgisselq
// `define      ZIP_SCOPE
100 31 dgisselq
`endif
101
 
102 2 dgisselq
module  busmaster(i_clk, i_rst,
103
                i_rx_stb, i_rx_data, o_tx_stb, o_tx_data, i_tx_busy,
104
                // The SPI Flash lines
105
                o_sf_cs_n, o_sd_cs_n, o_spi_sck, o_spi_mosi, i_spi_miso,
106
                // The SDRAM lines
107
                o_ram_cs_n, o_ram_cke, o_ram_ras_n, o_ram_cas_n,
108
                        o_ram_we_n, o_ram_bs, o_ram_addr,
109
                        o_ram_drive_data, i_ram_data, o_ram_data,
110
                        o_ram_dqm,
111
                // Generic GPIO
112
                i_gpio, o_gpio, o_pwm,
113
                i_rx_uart, o_tx_uart);
114
        parameter       ZIP_ADDRESS_WIDTH=24, NGPO=15, NGPI=15,
115
                        ZA=ZIP_ADDRESS_WIDTH;
116
        input                   i_clk, i_rst;
117
        // The bus commander, via an external JTAG port
118
        input                   i_rx_stb;
119
        input           [7:0]    i_rx_data;
120
        output  wire            o_tx_stb;
121
        output  wire    [7:0]    o_tx_data;
122
        input                   i_tx_busy;
123
        // SPI flash control
124
        output  wire            o_sf_cs_n, o_sd_cs_n;
125
        output  wire            o_spi_sck, o_spi_mosi;
126
        input                   i_spi_miso;
127
        // SDRAM control
128
        output  wire            o_ram_cs_n, o_ram_cke;
129
        output  wire            o_ram_ras_n, o_ram_cas_n, o_ram_we_n;
130
        output  wire    [12:0]   o_ram_addr;
131
        output  wire    [1:0]    o_ram_bs;
132
        output  wire            o_ram_drive_data;
133
        input           [15:0]   i_ram_data;
134
        output  wire    [15:0]   o_ram_data;
135
        output  wire    [1:0]    o_ram_dqm;
136
        input   [(NGPI-1):0]     i_gpio;
137
        output wire [(NGPO-1):0] o_gpio;
138
        output  wire            o_pwm;
139
        input                   i_rx_uart;
140
        output  wire            o_tx_uart;
141
 
142
 
143
        //
144
        //
145
        // Master wishbone wires
146
        //
147
        //
148
        wire            wb_cyc, wb_stb, wb_we, wb_stall, wb_ack, wb_err;
149
        wire    [31:0]   wb_data, wb_idata, wb_addr;
150
 
151
        //
152
        //
153
        // First BUS master source: The JTAG
154
        //
155
        //
156
        wire    [31:0]   dwb_idata;
157
 
158
        // Wires going to devices
159
        wire            wbu_cyc, wbu_stb, wbu_we;
160
        wire    [31:0]   wbu_addr, wbu_data;
161
        // and then coming from devices
162
        wire            wbu_ack, wbu_stall, wbu_err;
163
        wire    [31:0]   wbu_idata;
164
        // And then headed back home
165
        wire    w_interrupt;
166
        // Oh, and the debug control for the ZIP CPU
167
        wire            wbu_zip_sel, zip_dbg_ack, zip_dbg_stall;
168 9 dgisselq
        assign  wbu_zip_sel =((wbu_cyc)&&(wbu_addr[24]));
169 2 dgisselq
        wire    [31:0]   zip_dbg_data;
170
        wbubus  genbus(i_clk, i_rx_stb, i_rx_data,
171
                        wbu_cyc, wbu_stb, wbu_we, wbu_addr, wbu_data,
172
`ifdef  INCLUDE_ZIPCPU
173
                        ((~wbu_zip_sel)&&(wbu_ack))
174
                                ||((wbu_zip_sel)&&(zip_dbg_ack)),
175
                        ((~wbu_zip_sel)&&(wbu_stall))
176
                                ||((wbu_zip_sel)&&(zip_dbg_stall)),
177
                                wbu_err, (wbu_zip_sel)?zip_dbg_data:dwb_idata,
178
`else
179
                        wbu_ack, wbu_stall,
180
                                wbu_err, dwb_idata,
181
`endif
182
                        w_interrupt,
183
                        o_tx_stb, o_tx_data, i_tx_busy);
184
 
185
 
186
        //
187
        //
188
        // Second BUS master source: The ZipCPU
189
        //
190
        //
191
        wire            zip_cyc, zip_stb, zip_we, zip_cpu_int;
192
        wire    [(ZA-1):0]       w_zip_addr;
193
        wire    [31:0]   zip_addr, zip_data;
194
        // and then coming from devices
195
        wire            zip_ack, zip_stall, zip_err;
196
        wire    dwb_we, dwb_stb, dwb_cyc, dwb_ack, dwb_stall, dwb_err;
197
        wire    [31:0]   dwb_addr, dwb_odata;
198 74 dgisselq
        wire    [8:0]    w_ints_to_zip_cpu;
199 2 dgisselq
`ifdef  INCLUDE_ZIPCPU
200 31 dgisselq
`ifdef  XULA25
201 2 dgisselq
        wire    [31:0]   zip_debug;
202 74 dgisselq
        zipsystem #(24'h2000,ZA,8,1,9)
203 2 dgisselq
                zippy(i_clk, 1'b0,
204
                        // Zippys wishbone interface
205
                        zip_cyc, zip_stb, zip_we, w_zip_addr, zip_data,
206
                                zip_ack, zip_stall, dwb_idata, zip_err,
207
                        w_ints_to_zip_cpu, zip_cpu_int,
208
                        // Debug wishbone interface
209
                        ((wbu_cyc)&&(wbu_zip_sel)),
210
                                ((wbu_stb)&&(wbu_zip_sel)),wbu_we, wbu_addr[0],
211
                                wbu_data,
212
                                zip_dbg_ack, zip_dbg_stall, zip_dbg_data,
213
                        zip_debug);
214 31 dgisselq
`else
215
        zipbones #(24'h2000,ZA,8,1)
216
                zippy(i_clk, 1'b0,
217
                        // Zippys wishbone interface
218
                        zip_cyc, zip_stb, zip_we, w_zip_addr, zip_data,
219
                                zip_ack, zip_stall, dwb_idata, zip_err,
220
                        w_interrupt, zip_cpu_int,
221
                        // Debug wishbone interface
222
                        ((wbu_cyc)&&(wbu_zip_sel)),
223
                                ((wbu_stb)&&(wbu_zip_sel)),wbu_we, wbu_addr[0],
224
                                wbu_data,
225
                                zip_dbg_ack, zip_dbg_stall, zip_dbg_data);
226
`endif
227 2 dgisselq
        generate
228
        if (ZA < 32)
229
                assign  zip_addr = { {(32-ZA){1'b0}}, w_zip_addr };
230
        else
231
                assign  zip_addr = w_zip_addr;
232
        endgenerate
233
 
234
 
235
        //
236
        //
237
        // And an arbiter to decide who gets to access the bus
238
        //
239
        //
240
        /*
241
        wbarbiter #(32,32) wbu_zip_arbiter(i_clk, i_rst,
242
                // The UART interface Master
243
                wbu_addr, wbu_data, wbu_we, (wbu_stb)&&(~wbu_zip_sel),
244
                        (wbu_cyc)&&(~wbu_zip_sel), wbu_ack, wbu_stall, wbu_err,
245
                // The ZIP CPU Master
246
                zip_addr, zip_data, zip_we, zip_stb,
247
                        zip_cyc, zip_ack, zip_stall, zip_err,
248
                // Common bus returns
249
                dwb_addr,dwb_odata,dwb_we,dwb_stb, dwb_cyc, dwb_ack, dwb_stall, dwb_err);
250
        */
251
        wbpriarbiter #(32,32) wbu_zip_arbiter(i_clk,
252
                // The ZIP CPU Master -- gets priority in the arbiter
253
                zip_cyc, zip_stb, zip_we, zip_addr, zip_data,
254
                        zip_ack, zip_stall, zip_err,
255
                // The JTAG interface Master, secondary priority,
256
                // will suffer a 1clk delay in arbitration
257
                (wbu_cyc)&&(~wbu_zip_sel), (wbu_stb)&&(~wbu_zip_sel), wbu_we,
258
                        wbu_addr, wbu_data,
259
                        wbu_ack, wbu_stall, wbu_err,
260
                // Common bus returns
261
                dwb_cyc, dwb_stb, dwb_we, dwb_addr, dwb_odata,
262
                        dwb_ack, dwb_stall, dwb_err);
263
 
264
`else
265
        assign  zip_cyc = 1'b0;
266
        assign  zip_stb = 1'b0;
267
        assign  zip_we  = 1'b0;
268
        assign  zip_cpu_int = 1'b0;
269
        assign  zip_addr = 32'h000;
270
        assign  zip_data = 32'h000;
271
 
272
        reg     r_zip_dbg_ack;
273
        initial r_zip_dbg_ack = 1'b0;
274
        always @(posedge i_clk)
275
                r_zip_dbg_ack <= ((wbu_cyc)&&(wbu_zip_sel)&(wbu_stb));
276
        assign  zip_dbg_ack = r_zip_dbg_ack;
277
        assign  zip_dbg_stall = 1'b0;
278
        assign  zip_dbg_data = 32'h000;
279
 
280
        assign  dwb_addr = wbu_addr;
281
        assign  dwb_odata = wbu_data;
282
        assign  dwb_we = wbu_we;
283
        assign  dwb_stb = (wbu_stb);
284
        assign  dwb_cyc = (wbu_cyc);
285
        assign  wbu_ack = dwb_ack;
286
        assign  wbu_stall = dwb_stall;
287
        assign  dwb_idata = wb_idata;
288
        assign  wbu_err = dwb_err;
289
`endif
290
 
291
 
292
        // 
293
        // 
294
        // And because the ZIP CPU and the Arbiter create an unacceptable
295
        // delay, we fail timing.  So we add in a delay cycle ...
296
        // 
297
        // 
298
`ifdef  NO_ZIP_WBU_DELAY
299
        assign  wb_cyc    = dwb_cyc;
300
        assign  wb_stb    = dwb_stb;
301
        assign  wb_we     = dwb_we;
302
        assign  wb_addr   = dwb_addr;
303
        assign  wb_data   = dwb_odata;
304
        assign  dwb_idata = wb_idata;
305
        assign  dwb_ack   = wb_ack;
306
        assign  dwb_stall = wb_stall;
307
        assign  dwb_err   = wb_err;
308
`else
309
        busdelay        wbu_zip_delay(i_clk,
310
                        dwb_cyc, dwb_stb, dwb_we, dwb_addr, dwb_odata,
311
                                dwb_ack, dwb_stall, dwb_idata, dwb_err,
312
                        wb_cyc, wb_stb, wb_we, wb_addr, wb_data,
313
                                wb_ack, wb_stall, wb_idata, wb_err);
314
`endif
315
 
316
 
317
 
318
        wire    io_sel, pwm_sel, uart_sel, flash_sel, flctl_sel, scop_sel,
319
                        cfg_sel, mem_sel, sdram_sel, sdcard_sel,
320
                        none_sel, many_sel, io_bank;
321
        wire    io_ack, flash_ack, scop_ack, cfg_ack, mem_ack,
322
                        sdram_ack, sdcard_ack, uart_ack, pwm_ack;
323
        wire    io_stall, flash_stall, scop_stall, cfg_stall, mem_stall,
324
                        sdram_stall, sdcard_stall, uart_stall, pwm_stall;
325
 
326
        wire    [31:0]   io_data, flash_data, scop_data, cfg_data, mem_data,
327
                        sdram_data, sdcard_data, uart_data, pwm_data;
328
        reg     [31:0]   bus_err_addr;
329
 
330
        assign  wb_ack = (wb_cyc)&&((io_ack)||(uart_ack)||(pwm_ack)
331
                                ||(scop_ack)||(cfg_ack)
332
                                ||(mem_ack)||(flash_ack)||(sdram_ack)
333
                                ||(sdcard_ack)
334
                                ||((none_sel)&&(1'b1)));
335
        assign  wb_stall = ((io_sel)&&(io_stall))
336
                        ||((uart_sel)&&(uart_stall))
337
                        ||((pwm_sel)&&(pwm_stall))
338
                        ||((scop_sel)&&(scop_stall))
339
                        ||((cfg_sel)&&(cfg_stall))
340
                        ||((mem_sel)&&(mem_stall))
341
                        ||((sdram_sel)&&(sdram_stall))
342
                        ||((sdcard_sel)&&(sdcard_stall))
343
                        ||((flash_sel||flctl_sel)&&(flash_stall));
344
                        // (none_sel)&&(1'b0)
345
 
346
        /*
347
        assign  wb_idata = (io_ack)?io_data
348
                        : ((scop_ack)?scop_data
349
                        : ((cfg_ack)?cfg_data
350
                        : ((mem_ack)?mem_data
351
                        : ((flash_ack)?flash_data
352
                        : 32'h00))));
353
        */
354
        assign  wb_idata =  (io_ack|scop_ack)?((io_ack )? io_data  : scop_data)
355
                        : ((uart_ack|pwm_ack)?((uart_ack)?uart_data: pwm_data)
356
                        : ((cfg_ack) ? cfg_data
357
                        : ((sdram_ack|sdcard_ack)
358
                                        ?((sdram_ack)? sdram_data : sdcard_data)
359
                        : ((mem_ack)?mem_data:flash_data)))); // if (flash_ack)
360
        assign  wb_err = ((wb_cyc)&&(wb_stb)&&(none_sel || many_sel)) || many_ack;
361
 
362
        // Addresses ...
363
        //      0000 xxxx       configuration/control registers
364
        //      001x xxxx       Down-sampler taps       (64 taps, 2 at a time)
365
        //      1xxx xxxx       Up-sampler taps
366
        //      1 xxxx xxxx xxxx xxxx xxxx      Up-sampler taps
367 31 dgisselq
        wire    pre_io, pre_pwm, pre_uart, pre_flctl, pre_scop;
368 2 dgisselq
        assign  io_bank  = (wb_cyc)&&(wb_addr[31:5] == 27'h8);
369 31 dgisselq
        assign  pre_io   = (~pre_flctl)&&(~pre_pwm)&&(~pre_uart)&&(~pre_scop);
370
        assign  io_sel   = (io_bank)&&(pre_io);
371
        assign  pre_pwm  = (wb_addr[4: 1]== 4'h4);
372
        assign  pwm_sel  = (io_bank)&&(pre_pwm);
373
        assign  pre_uart = (wb_addr[4: 1]== 4'h5)||(wb_addr[4:0]==5'h7);
374
        assign  uart_sel = (io_bank)&&(pre_uart);
375
        assign  pre_flctl= (wb_addr[4: 2]== 3'h3);
376
        assign  flctl_sel= (io_bank)&&(pre_flctl);
377
        assign  pre_scop = (wb_addr[4: 3]== 2'h3);
378
        assign  scop_sel = (io_bank)&&(pre_scop);
379 2 dgisselq
        assign  cfg_sel  =((wb_cyc)&&(wb_addr[31: 6]== 26'h05));
380
        // zip_sel is not on the bus at this point
381
        assign  mem_sel  =((wb_cyc)&&(wb_addr[31:13]== 19'h01));
382
        assign  flash_sel=((wb_cyc)&&(wb_addr[31:18]== 14'h01));
383 74 dgisselq
`ifdef  SDCARD_ACCESS
384
        assign  sdcard_sel=((wb_cyc)&&(wb_addr[31:2]== 30'h48));
385
`else
386 2 dgisselq
        assign  sdcard_sel=1'b0;
387 74 dgisselq
`endif
388 2 dgisselq
        assign  sdram_sel=((wb_cyc)&&(wb_addr[31:23]== 9'h01));
389 31 dgisselq
 
390
        assign  none_sel =((wb_cyc)&&(wb_stb)&&(~
391
                        (io_sel
392
                        ||uart_sel
393
                        ||pwm_sel
394
                        ||flctl_sel
395
                        ||scop_sel
396
                        ||cfg_sel
397
                        ||mem_sel
398
                        ||sdram_sel
399
                        ||sdcard_sel
400
                        ||flash_sel)));
401 2 dgisselq
        assign  many_sel =((wb_cyc)&&(wb_stb)&&(
402
                         {3'h0, io_sel}
403
                        +{3'h0, uart_sel}
404
                        +{3'h0, pwm_sel}
405
                        +{3'h0, flctl_sel}
406
                        +{3'h0, scop_sel}
407
                        +{3'h0, cfg_sel}
408
                        +{3'h0, mem_sel}
409
                        +{3'h0, sdram_sel}
410
                        +{3'h0, sdcard_sel}
411
                        +{3'h0, flash_sel} > 1));
412
 
413
        wire    many_ack;
414
        assign  many_ack =((wb_cyc)&&(
415
                         {3'h0, io_ack}
416
                        +{3'h0, uart_ack}
417
                        +{3'h0, pwm_ack}
418 31 dgisselq
                        // FLCTL acks through the flash, so one less check here
419 2 dgisselq
                        +{3'h0, scop_ack}
420
                        +{3'h0, cfg_ack}
421
                        +{3'h0, mem_ack}
422
                        +{3'h0, sdram_ack}
423
                        +{3'h0, sdcard_ack}
424
                        +{3'h0, flash_ack} > 1));
425
 
426
        always @(posedge i_clk)
427
                if (wb_err)
428
                        bus_err_addr <= wb_addr;
429
 
430 74 dgisselq
        wire            flash_interrupt, sdcard_interrupt, scop_interrupt,
431 2 dgisselq
                        uart_rx_int, uart_tx_int, pwm_int;
432
        // The I/O processor, herein called an ioslave
433
        ioslave #(NGPO, NGPI) runio(i_clk,
434
                        wb_cyc, (io_sel)&&(wb_stb), wb_we, wb_addr[4:0],
435
                                wb_data, io_ack, io_stall, io_data,
436
                        i_gpio, o_gpio,
437
                        bus_err_addr,
438 74 dgisselq
                        {
439
                        sdcard_interrupt,
440
                        uart_tx_int, uart_rx_int, pwm_int, scop_interrupt,
441 31 dgisselq
                                flash_interrupt,
442
`ifdef  XULA25
443
                                zip_cpu_int
444
`else
445
                                1'b0
446
`endif
447
                                },
448 2 dgisselq
                        w_ints_to_zip_cpu,
449
                        w_interrupt);
450
                // 8684
451
                // 1'bx, 4'h0, scop_sel, scop_ack, ~scop_stall, 
452
                //      wb_err, ~vga_interrupt, 2'b00, flash_interrupt
453
        //
454
 
455
        //
456
        //      UART device
457
        //
458 74 dgisselq
        wire    [31:0]   uart_debug;
459 2 dgisselq
        uartdev serialport(i_clk, i_rx_uart, o_tx_uart,
460
                        wb_cyc, (wb_stb)&&(uart_sel), wb_we,
461 9 dgisselq
                                        { ~wb_addr[2], wb_addr[0]}, wb_data,
462
                        uart_ack, uart_stall, uart_data,
463 74 dgisselq
                        uart_rx_int, uart_tx_int,
464
                        uart_debug);
465 2 dgisselq
 
466
        //
467
        //      PWM (audio) device
468
        //
469 46 dgisselq
        // The audio rate is given by the number of clock ticks between
470
        // samples.  If we are running at 80 MHz, then divide that by the
471
        // sample rate to get the first parameter for the PWM device.  The
472
        // second parameter is zero or one, indicating whether or not the
473
        // audio rate can be adjusted (1), or whether it is fixed within the
474
        // build (0).
475 83 dgisselq
`ifdef  XULA25
476
        wbpwmaudio      #(16'd1813,1)   // 44.1 kHz, user adjustable
477
`else
478
        wbpwmaudio      #(16'h2710,0,16) //  8   kHz, fixed audio rate
479
`endif
480 46 dgisselq
                pwmdev(i_clk,
481 2 dgisselq
                        wb_cyc, (wb_stb)&&(pwm_sel), wb_we, wb_addr[0],
482
                        wb_data, pwm_ack, pwm_stall, pwm_data, o_pwm, pwm_int);
483 46 dgisselq
 
484 2 dgisselq
 
485
 
486
        //
487
        //      FLASH MEMORY CONFIGURATION ACCESS
488
        //
489
        wire    flash_cs_n, flash_sck, flash_mosi;
490 74 dgisselq
        wire    spi_user, sdcard_grant, flash_grant;
491 2 dgisselq
`ifdef  FLASH_ACCESS
492
        wbspiflash      flashmem(i_clk,
493
                wb_cyc,(wb_stb&&flash_sel),(wb_stb)&&(flctl_sel),wb_we,
494
                        wb_addr[17:0], wb_data,
495
                flash_ack, flash_stall, flash_data,
496
                flash_sck, flash_cs_n, o_sf_cs_n, flash_mosi, i_spi_miso,
497 74 dgisselq
                flash_interrupt, flash_grant);
498 2 dgisselq
`else
499
        reg     r_flash_ack;
500
        initial r_flash_ack = 1'b0;
501
        always @(posedge i_clk)
502
                r_flash_ack <= (wb_cyc)&&(wb_stb)&&((flash_sel)||(flctl_sel));
503
 
504
        assign  flash_ack = r_flash_ack;
505
        assign  flash_stall = 1'b0;
506
        assign  flash_data = 32'h0000;
507
        assign  flash_interrupt = 1'b0;
508
 
509
        assign  flash_cs_n = 1'b1;
510
        assign  flash_sck  = 1'b1;
511
        assign  flash_mosi = 1'b1;
512
`endif
513
 
514 74 dgisselq
        //
515
        //      SDCARD ACCESS
516
        //
517
        wire    sdcard_cs_n, sdcard_sck, sdcard_mosi;
518
        wire    [31:0]   sdspi_scope;
519
`ifdef  SDCARD_ACCESS
520
        sdspi   sdcard_controller(i_clk,
521
                // Wishbone interface
522
                wb_cyc, (wb_stb)&&(sdcard_sel), wb_we, wb_addr[1:0], wb_data,
523
                //      return
524
                        sdcard_ack, sdcard_stall, sdcard_data,
525
                // SPI interface
526
                sdcard_cs_n, sdcard_sck, sdcard_mosi, i_spi_miso,
527
                sdcard_interrupt, sdcard_grant, sdspi_scope);
528
`else
529
        reg     r_sdcard_ack;
530
        initial r_sdcard_ack = 1'b0;
531
        always @(posedge i_clk)
532
                r_sdcard_ack <= (wb_cyc)&&(wb_stb)&&(sdcard_sel);
533
        assign  sdcard_stall = 1'b0;
534
        assign  sdcard_ack = r_sdcard_ack;
535
        assign  sdcard_data = 32'h0000;
536
        assign  sdcard_interrupt= 1'b0;
537
`endif  // SDCARD_ACCESS
538
 
539
 
540 2 dgisselq
`ifdef  FLASH_ACCESS
541
`ifdef  SDCARD_ACCESS
542
        spiarbiter      spichk(i_clk,
543 74 dgisselq
                // Channel zero
544 2 dgisselq
                flash_cs_n, flash_sck, flash_mosi,
545 74 dgisselq
                // Channel one
546 2 dgisselq
                sdcard_cs_n, sdcard_sck, sdcard_mosi,
547 74 dgisselq
                o_sf_cs_n, o_sd_cs_n, o_spi_sck, o_spi_mosi,
548
                spi_user);
549
        assign  sdcard_grant =  spi_user;
550
        assign  flash_grant  = ~spi_user;
551 2 dgisselq
`else
552
        // Flash access, but no SD card access
553
        assign  o_sf_cs_n  = flash_cs_n;
554
        assign  o_sd_cs_n  = 1'b1;
555
        assign  o_spi_sck  = flash_sck;
556
        assign  o_spi_mosi = flash_mosi;
557 74 dgisselq
        assign  spi_user = 1'b0;
558 83 dgisselq
        assign  flash_grant = 1'b1;
559
        assign  sdcard_grant= 1'b0;
560 2 dgisselq
`endif // SDCARD_ACCESS && FLASH_ACCESS
561
`else // FLASH_ACCESS
562
`ifdef  SDCARD_ACCESS
563
        // SDCard access, but no flash access
564
        assign  o_sf_cs_n  = 1'b1;
565
        assign  o_sd_cs_n  = sdcard_cs_n;
566
        assign  o_spi_sck  = sdcard_sck;
567
        assign  o_spi_mosi = sdcard_mosi;
568 74 dgisselq
        assign  spi_user = 1'b1;
569 83 dgisselq
        assign  flash_grant = 1'b0;
570
        assign  sdcard_grant= 1'b1;
571 2 dgisselq
`else
572
        // No SPI access ...
573
        assign  o_sf_cs_n  = 1'b1;
574
        assign  o_sd_cs_n  = 1'b1;
575
        assign  o_spi_sck  = 1'b1;
576
        assign  o_spi_mosi = 1'b1;
577 74 dgisselq
        assign  spi_user = 1'b0;
578 83 dgisselq
        assign  flash_grant = 1'b0;
579
        assign  sdcard_grant= 1'b0;
580 2 dgisselq
`endif // SDCARD_ACCESS, w/o FLASH_ACCESS
581
`endif // !FLASH_ACCESS
582
 
583
 
584
        //
585
        //      MULTIBOOT/ICAPE2 CONFIGURATION ACCESS
586
        //
587
        wire    [31:0]   cfg_scope;
588
`ifdef  FANCY_ICAP_ACCESS
589
        wbicape6        fpga_cfg(i_clk, wb_cyc,(cfg_sel)&&(wb_stb), wb_we,
590
                                wb_addr[5:0], wb_data,
591
                                cfg_ack, cfg_stall, cfg_data,
592
                                cfg_scope);
593
`else
594
        assign  cfg_scope = 32'h0000;
595
        reg     r_cfg_ack;
596
        initial r_cfg_ack = 1'b0;
597
        always @(posedge i_clk)
598
                r_cfg_ack <= ((wb_cyc)&&(cfg_sel)&&(wb_stb)&&(~cfg_stall));
599
        assign  cfg_ack = r_cfg_ack;
600
        assign  cfg_stall = 1'b0;
601
        assign  cfg_data = 32'h0000;
602
`endif
603
 
604
 
605
        //
606
        //      RAM MEMORY ACCESS
607
        //
608
`ifdef  IMPLEMENT_ONCHIP_RAM
609
        memdev  #(13) ram(i_clk, wb_cyc, (wb_stb)&&(mem_sel), wb_we,
610
                        wb_addr[12:0], wb_data, mem_ack, mem_stall, mem_data);
611
`else
612
        reg     r_mem_ack;
613
        always @(posedge i_clk)
614
                r_mem_ack = (wb_cyc)&&(wb_stb)&&(mem_sel);
615
        assign  mem_data = 32'h000;
616
        assign  mem_stall = 1'b0;
617
        assign  mem_ack = r_mem_ack;
618
`endif
619
 
620
 
621
        //
622
        //      SDRAM Memory Access
623
        //
624
        wire    [31:0]   sdram_debug;
625
`ifndef BYPASS_SDRAM_ACCESS
626
        wbsdram sdram(i_clk,
627
                wb_cyc, (wb_stb)&&(sdram_sel),
628
                        wb_we, wb_addr[22:0], wb_data,
629
                        sdram_ack, sdram_stall, sdram_data,
630
                o_ram_cs_n, o_ram_cke, o_ram_ras_n, o_ram_cas_n, o_ram_we_n,
631
                        o_ram_bs, o_ram_addr,
632
                        o_ram_drive_data, i_ram_data, o_ram_data, o_ram_dqm,
633
                sdram_debug);
634
`else
635
        reg     r_sdram_ack;
636
        initial r_sdram_ack = 1'b0;
637
        always @(posedge i_clk)
638
                r_sdram_ack <= (wb_cyc)&&(wb_stb)&&(sdram_sel);
639
        assign  sdram_ack = r_sdram_ack;
640
        assign  sdram_stall = 1'b0;
641
        assign  sdram_data = 32'h0000;
642
 
643
        assign  o_ram_ce_n  = 1'b1;
644
        assign  o_ram_ras_n = 1'b1;
645
        assign  o_ram_cas_n = 1'b1;
646
        assign  o_ram_we_n  = 1'b1;
647
 
648
        assign  sdram_debug = 32'h0000;
649
`endif
650
 
651
        //
652
        //
653
        //      WISHBONE SCOPES
654
        //
655
        //
656
        //
657
        //
658 46 dgisselq
        wire    [31:0]   scop_flash_data;
659
        wire    scop_flash_ack, scop_flash_stall, scop_flash_interrupt;
660
 
661
`ifndef FLASH_ACCESS
662 2 dgisselq
`ifdef  FLASH_SCOPE
663 46 dgisselq
`undef  FLASH_SCOPE // FLASH_SCOPE only makes sense if you have flash access
664
`endif
665
`endif
666
 
667
`ifdef  FLASH_SCOPE
668 2 dgisselq
        reg     [31:0]   r_flash_debug, last_flash_debug;
669
        always @(posedge i_clk)
670
                r_flash_debug <= flash_debug;
671
        always @(posedge i_clk)
672
                last_flash_debug <= r_flash_debug;
673
        wbscope spiscope(i_clk, 1'b1, (~o_spi_cs_n), r_flash_debug,
674
                // Wishbone interface
675
                i_clk, wb_cyc, ((wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b00)), wb_we, wb_addr[0],
676
                        wb_data,
677
                        scop_flash_ack, scop_flash_stall, scop_flash_data,
678
                scop_flash_interrupt);
679
`else
680 46 dgisselq
`ifdef  WBUS_SCOPE
681
        wbscopc #(5'ha) wbuscope(i_clk, 1'b1, wbus_debug[31], wbus_debug[30:0],
682
                // Wishbone interface
683
                i_clk, wb_cyc, ((wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b00)), wb_we, wb_addr[0],
684
                        wb_data,
685
                        scop_flash_ack, scop_flash_stall, scop_flash_data,
686
                scop_flash_interrupt);
687
`else
688 2 dgisselq
        assign  scop_flash_data = 32'h00;
689
        assign  scop_flash_ack  = (wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b00);
690
        assign scop_flash_stall = 1'b0;
691
        assign scop_flash_interrupt = 1'b0;
692
`endif
693 46 dgisselq
`endif
694 2 dgisselq
 
695
 
696
        wire    [31:0]   scop_cfg_data;
697
        wire            scop_cfg_ack, scop_cfg_stall, scop_cfg_interrupt;
698
`ifdef  CFG_SCOPE
699
        wire            scop_cfg_trigger;
700
        assign  scop_cfg_trigger = (wb_cyc)&&(wb_stb)&&(cfg_sel);
701 18 dgisselq
        wbscope #(5'h7) wbcfgscope(i_clk, 1'b1, scop_cfg_trigger, cfg_scope,
702 2 dgisselq
                // Wishbone interface
703
                i_clk, wb_cyc, ((wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b01)),
704
                                wb_we, wb_addr[0], wb_data,
705
                        scop_cfg_ack, scop_cfg_stall, scop_cfg_data,
706
                scop_cfg_interrupt);
707
`else
708 74 dgisselq
`ifdef  SDCARD_SCOPE
709
        wire            scop_sd_trigger, scop_sd_ce;
710
        assign  scop_sd_trigger = (wb_cyc)&&(wb_stb)&&(sdcard_sel)&&(wb_we);
711
        assign  scop_sd_ce = 1'b1; // sdspi_scope[31];
712
        wbscope #(5'h9) sdspiscope(i_clk, scop_sd_ce,
713
                        scop_sd_trigger, sdspi_scope,
714
                // Wishbone interface
715
                i_clk, wb_cyc, ((wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b01)),
716
                        wb_we, wb_addr[0], wb_data,
717
                scop_cfg_ack, scop_cfg_stall, scop_cfg_data,scop_cfg_interrupt);
718
`else
719 2 dgisselq
        assign  scop_cfg_data = 32'h00;
720
        assign  scop_cfg_ack  = (wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b01);
721
        assign  scop_cfg_stall = 1'b0;
722
        assign  scop_cfg_interrupt = 1'b0;
723
`endif
724 74 dgisselq
`endif
725 2 dgisselq
 
726 74 dgisselq
        wire    [31:0]   scop_two_data;
727
        wire            scop_two_ack, scop_two_stall, scop_two_interrupt;
728 2 dgisselq
`ifdef  SDRAM_SCOPE
729
        wire            sdram_trigger;
730 46 dgisselq
        assign  sdram_trigger = sdram_debug[18]; // sdram_sel;
731 2 dgisselq
 
732 46 dgisselq
        wbscope #(5'hb) sdramscope(i_clk, 1'b1, sdram_trigger,
733 2 dgisselq
                        sdram_debug,
734 46 dgisselq
                        //{ sdram_trigger, wb_data[30:0] },
735 2 dgisselq
                // Wishbone interface
736
                i_clk, wb_cyc, ((wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b10)), wb_we, wb_addr[0],
737
                        wb_data,
738 74 dgisselq
                        scop_two_ack, scop_two_stall, scop_two_data,
739
                scop_two_interrupt);
740 2 dgisselq
`else
741 74 dgisselq
`ifdef  UART_SCOPE
742
        wire            uart_trigger;
743
        assign  uart_trigger = uart_debug[31];
744
 
745
        // wbscopc #(5'ha) uartscope(i_clk,1'b1, uart_trigger, uart_debug[30:0],
746
        wbscope #(5'ha) uartscope(i_clk, 1'b1, uart_trigger, uart_debug[31:0],
747
                // Wishbone interface
748
                i_clk, wb_cyc, ((wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b10)), wb_we, wb_addr[0],
749
                        wb_data,
750
                        scop_two_ack, scop_two_stall, scop_two_data,
751
                scop_two_interrupt);
752
`else
753
        assign  scop_two_data = 32'h00;
754
        assign  scop_two_ack  = (wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b10);
755
        assign  scop_two_stall = 1'b0;
756
        assign  scop_two_interrupt = 1'b0;
757 2 dgisselq
`endif
758 74 dgisselq
`endif
759 2 dgisselq
 
760
        wire    [31:0]   scop_zip_data;
761
        wire            scop_zip_ack, scop_zip_stall, scop_zip_interrupt;
762
`ifdef  ZIP_SCOPE
763
        wire            zip_trigger;
764
        assign  zip_trigger=(wbu_zip_sel)&&(wbu_we)&&(wbu_stb)&&(~wbu_addr[0]);
765 74 dgisselq
        wbscope #(5'h9) zipscope(i_clk, 1'b1, zip_trigger,
766 2 dgisselq
                        zip_debug,
767
                // Wishbone interface
768
                i_clk, wb_cyc, ((wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b11)), wb_we, wb_addr[0],
769
                        wb_data,
770
                        scop_zip_ack, scop_zip_stall, scop_zip_data,
771
                scop_zip_interrupt);
772
`else
773
        assign  scop_zip_data = 32'h00;
774
        assign  scop_zip_ack  = (wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b11);
775
        assign  scop_zip_stall = 1'b0;
776
        assign  scop_zip_interrupt = 1'b0;
777
`endif
778
 
779
 
780
        assign  scop_interrupt = scop_flash_interrupt || scop_cfg_interrupt
781 74 dgisselq
                                || scop_two_interrupt || scop_zip_interrupt;
782
        assign  scop_ack   = scop_cfg_ack | scop_flash_ack | scop_two_ack | scop_zip_ack;
783 2 dgisselq
        assign  scop_stall = ((~wb_addr[2])?
784
                                ((wb_addr[1])?scop_flash_stall:scop_cfg_stall)
785 74 dgisselq
                                : ((wb_addr[1])?scop_two_stall:scop_zip_stall));
786 2 dgisselq
        assign  scop_data  = ((scop_cfg_ack)?scop_cfg_data
787
                                : ((scop_flash_ack) ? scop_flash_data
788 74 dgisselq
                                : ((scop_two_ack) ? scop_two_data
789 2 dgisselq
                                : scop_zip_data)));
790
 
791
 
792
endmodule
793
 
794
// 0x8684 interrupts ...???

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.