OpenCores
URL https://opencores.org/ocsvn/yacc/yacc/trunk

Subversion Repositories yacc

[/] [yacc/] [trunk/] [rtl/] [altera/] [fifo512_cyclone.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tak.sugawa
// megafunction wizard: %FIFO%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: scfifo 
5
 
6
// ============================================================
7
// File Name: fifo512_cyclone.v
8
// Megafunction Name(s):
9
//                      scfifo
10
// ============================================================
11
// ************************************************************
12
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13
//
14
// 4.0 Build 190 1/28/2004 SJ Full Version
15
// ************************************************************
16
 
17
 
18
//Copyright (C) 1991-2004 Altera Corporation
19
//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
20
//support information,  device programming or simulation file,  and any other
21
//associated  documentation or information  provided by  Altera  or a partner
22
//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
23
//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
24
//other  use  of such  megafunction  design,  netlist,  support  information,
25
//device programming or simulation file,  or any other  related documentation
26
//or information  is prohibited  for  any  other purpose,  including, but not
27
//limited to  modification,  reverse engineering,  de-compiling, or use  with
28
//any other  silicon devices,  unless such use is  explicitly  licensed under
29
//a separate agreement with  Altera  or a megafunction partner.  Title to the
30
//intellectual property,  including patents,  copyrights,  trademarks,  trade
31
//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
32
//support  information,  device programming or simulation file,  or any other
33
//related documentation or information provided by  Altera  or a megafunction
34
//partner, remains with Altera, the megafunction partner, or their respective
35
//licensors. No other licenses, including any licenses needed under any third
36
//party's intellectual property, are provided herein.
37
 
38
 
39
// synopsys translate_off
40
`timescale 1 ps / 1 ps
41
// synopsys translate_on
42
module fifo512_cyclone (
43
        data,
44
        wrreq,
45
        rdreq,
46
        clock,
47
        q,
48
        full,
49
        empty);
50
 
51
        input   [7:0]  data;
52
        input     wrreq;
53
        input     rdreq;
54
        input     clock;
55
        output  [7:0]  q;
56
        output    full;
57
        output    empty;
58
 
59
        wire  sub_wire0;
60
        wire [7:0] sub_wire1;
61
        wire  sub_wire2;
62
        wire  empty = sub_wire0;
63
        wire [7:0] q = sub_wire1[7:0];
64
        wire  full = sub_wire2;
65
 
66
        scfifo  scfifo_component (
67
                                .rdreq (rdreq),
68
                                .clock (clock),
69
                                .wrreq (wrreq),
70
                                .data (data),
71
                                .empty (sub_wire0),
72
                                .q (sub_wire1),
73
                                .full (sub_wire2)
74
                                // synopsys translate_off
75
,
76
                                .aclr (),
77
                                .sclr (),
78
                                .almost_full (),
79
                                .almost_empty (),
80
                                .usedw ()
81
                                // synopsys translate_on
82
 
83
);
84
        defparam
85
                scfifo_component.intended_device_family = "Cyclone",
86
                scfifo_component.lpm_width = 8,
87
                scfifo_component.lpm_numwords = 512,
88
                scfifo_component.lpm_widthu = 9,
89
                scfifo_component.lpm_type = "scfifo",
90
                scfifo_component.lpm_showahead = "OFF",
91
                scfifo_component.overflow_checking = "ON",
92
                scfifo_component.underflow_checking = "ON",
93
                scfifo_component.use_eab = "ON",
94
                scfifo_component.add_ram_output_register = "OFF",
95
                scfifo_component.lpm_hint = "RAM_BLOCK_TYPE=AUTO";
96
 
97
 
98
endmodule
99
 
100
// ============================================================
101
// CNX file retrieval info
102
// ============================================================
103
// Retrieval info: PRIVATE: Width NUMERIC "8"
104
// Retrieval info: PRIVATE: Depth NUMERIC "512"
105
// Retrieval info: PRIVATE: Clock NUMERIC "0"
106
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
107
// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
108
// Retrieval info: PRIVATE: Full NUMERIC "1"
109
// Retrieval info: PRIVATE: Empty NUMERIC "1"
110
// Retrieval info: PRIVATE: UsedW NUMERIC "0"
111
// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
112
// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
113
// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
114
// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
115
// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
116
// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
117
// Retrieval info: PRIVATE: rsFull NUMERIC "0"
118
// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
119
// Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
120
// Retrieval info: PRIVATE: wsFull NUMERIC "1"
121
// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
122
// Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
123
// Retrieval info: PRIVATE: dc_aclr NUMERIC "0"
124
// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
125
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
126
// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
127
// Retrieval info: PRIVATE: Optimize NUMERIC "2"
128
// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
129
// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
130
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_0 STRING "data;wrreq;rdreq;clock;aclr"
131
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_1 STRING "sclr;q;empty;full;almost_full"
132
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_2 STRING "almost_empty;usedw"
133
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
134
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8"
135
// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "512"
136
// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "9"
137
// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"
138
// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
139
// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
140
// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
141
// Retrieval info: CONSTANT: USE_EAB STRING "ON"
142
// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
143
// Retrieval info: CONSTANT: LPM_HINT STRING "RAM_BLOCK_TYPE=AUTO"
144
// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
145
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
146
// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
147
// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
148
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
149
// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full
150
// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty
151
// Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0
152
// Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0
153
// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
154
// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
155
// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
156
// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0
157
// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0
158
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
159
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.v TRUE
160
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.inc FALSE
161
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.cmp FALSE
162
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.bsf FALSE
163
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_inst.v FALSE
164
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_bb.v TRUE
165
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_waveforms.html TRUE
166
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_wave*.jpg FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.